Skip to content
Makefile 681 B
Newer Older
nats's avatar
nats committed
blink.bin: blink.v blink.pcf
	yosys -p "synth_ice40 -blif blink.blif" blink.v
	arachne-pnr --device 5k --package sg48 -p blink.pcf blink.blif -o blink.asc
	icepack blink.asc blink.bin
	icetime -d up5k -P sg48 -mt blink.asc

prog: blink.bin
	iceprog blink.bin

nextblink.bin: blink.v blink.pcf
	yosys -p "synth_ice40 -json blink.json" blink.v
	nextpnr-ice40 --up5k --json blink.json --pcf blink.pcf --asc blink.asc
	icepack blink.asc nextblink.bin

gui: blink.v blink.pcf
	yosys -p "synth_ice40 -json blink.json" blink.v
	nextpnr-ice40 --up5k --json blink.json --pcf blink.pcf --asc blink.asc --gui
	icepack blink.asc nextblink.bin


nextprog: nextblink.bin
	iceprog nextblink.bin