From ab6df344d1b736c9e39b03bf54ee6d3b67d23358 Mon Sep 17 00:00:00 2001 From: nats Date: Sat, 29 Sep 2018 09:14:54 +0200 Subject: [PATCH] Delete blink.blif --- blink.blif | 794 ----------------------------------------------------- 1 file changed, 794 deletions(-) delete mode 100644 blink.blif diff --git a/blink.blif b/blink.blif deleted file mode 100644 index 95c50ca..0000000 --- a/blink.blif +++ /dev/null @@ -1,794 +0,0 @@ -# Generated by Yosys 0.7+671 (git sha1 ac4000d8, gcc 7.3.0-27ubuntu1~18.04 -fPIC -Os) - -.model top -.inputs clk -.outputs rl bl gl -.names $false -.names $true -1 -.names $undef -.gate SB_LUT4 I0=$abc$1255$n125 I1=$abc$1255$n126 I2=$abc$1255$n127 I3=$abc$1255$n128 O=$abc$1255$n3 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=counter[4] I1=counter[5] I2=counter[6] I3=counter[7] O=$abc$1255$n125 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=counter[1] I1=counter[0] I2=counter[2] I3=counter[3] O=$abc$1255$n126 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=counter[13] I1=counter[10] I2=counter[14] I3=counter[15] O=$abc$1255$n127 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=counter[8] I1=counter[9] I2=counter[11] I3=counter[12] O=$abc$1255$n128 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=btrig[1] I1=$abc$1255$n3 I2=$false I3=$false O=$abc$1255$n4 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=btrig[7] I1=counter[7] I2=$abc$1255$n133 I3=$abc$1255$n134 O=$abc$1255$n132_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=btrig[6] I1=counter[6] I2=counter[0] I3=btrig[0] O=$abc$1255$n133 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=counter[10] I1=btrig[10] I2=counter[11] I3=btrig[11] O=$abc$1255$n134 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=btrig[2] I1=counter[2] I2=counter[12] I3=btrig[12] O=$abc$1255$n135_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=btrig[15] I1=counter[15] I2=btrig[10] I3=counter[10] O=$abc$1255$n136 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=counter[5] I1=btrig[5] I2=counter[9] I3=btrig[9] O=$abc$1255$n144_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=counter[5] I1=gtrig[5] I2=counter[12] I3=gtrig[12] O=$abc$1255$n152_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$1255$n165_1 I1=$abc$1255$n171_1 I2=$abc$1255$n174_1 I3=$abc$1255$n155 O=$0\rl[0:0] -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111110000000 -.gate SB_LUT4 I0=$abc$1255$n166_1 I1=$abc$1255$n169_1 I2=$abc$1255$n170_1 I3=$false O=$abc$1255$n165_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=counter[10] I1=rtrig[10] I2=$abc$1255$n167_1 I3=$abc$1255$n168_1 O=$abc$1255$n166_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=counter[1] I1=rtrig[1] I2=counter[0] I3=rtrig[0] O=$abc$1255$n167_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=rtrig[13] I1=counter[13] I2=rtrig[6] I3=counter[6] O=$abc$1255$n168_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=counter[9] I1=rtrig[9] I2=counter[12] I3=rtrig[12] O=$abc$1255$n169_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=counter[11] I1=rtrig[11] I2=counter[14] I3=rtrig[14] O=$abc$1255$n170_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=rtrig[2] I1=counter[2] I2=$abc$1255$n172_1 I3=$abc$1255$n173_1 O=$abc$1255$n171_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=rtrig[15] I1=counter[15] I2=counter[13] I3=rtrig[13] O=$abc$1255$n172_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=counter[4] I1=rtrig[4] I2=counter[7] I3=rtrig[7] O=$abc$1255$n173_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=counter[3] I1=rtrig[3] I2=$abc$1255$n175_1 I3=$abc$1255$n176_1 O=$abc$1255$n174_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=counter[5] I1=rtrig[5] I2=counter[8] I3=rtrig[8] O=$abc$1255$n175_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=counter[15] I1=rtrig[15] I2=counter[6] I3=rtrig[6] O=$abc$1255$n176_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=rtrig[1] I1=$abc$1255$n3 I2=$false I3=$false O=$abc$1255$n135 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=gtrig[2] I1=$abc$1255$n3 I2=$false I3=$false O=$abc$1255$n138 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=counter[1] I1=$false I2=$false I3=$false O=$0\counter[15:0][1] -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=rtrig[1] I1=$false I2=$false I3=$false O=$0\rtrig[15:0][1] -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[1] I1=$false I2=$false I3=$false O=$0\btrig[15:0][1] -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=rtrig[2] I1=$false I2=$false I3=$false O=$0\rtrig[15:0][2] -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[2] I1=$false I2=$false I3=$false O=$0\btrig[15:0][2] -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[2] I1=$false I2=$false I3=$false O=$0\gtrig[15:0][2] -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[3] I1=$false I2=$false I3=$false O=$0\gtrig[15:0][3] -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[11] I1=$false I2=$false I3=$false O=$abc$1255$n159 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[13] I1=$false I2=$false I3=$false O=$abc$1255$n161 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[4] I1=$false I2=$false I3=$false O=$abc$1255$n163 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[6] I1=$false I2=$false I3=$false O=$abc$1255$n165 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[7] I1=$false I2=$false I3=$false O=$abc$1255$n167 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[13] I1=$false I2=$false I3=$false O=$abc$1255$n169 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[0] I1=$false I2=$false I3=$false O=$abc$1255$n171 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[2] I1=$false I2=$false I3=$false O=$abc$1255$n173 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[3] I1=$false I2=$false I3=$false O=$abc$1255$n175 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[4] I1=$false I2=$false I3=$false O=$abc$1255$n177 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[5] I1=$false I2=$false I3=$false O=$abc$1255$n179 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[6] I1=$false I2=$false I3=$false O=$abc$1255$n181 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[7] I1=$false I2=$false I3=$false O=$abc$1255$n183 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[8] I1=$false I2=$false I3=$false O=$abc$1255$n185 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[9] I1=$false I2=$false I3=$false O=$abc$1255$n187 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[11] I1=$false I2=$false I3=$false O=$abc$1255$n189 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[12] I1=$false I2=$false I3=$false O=$abc$1255$n191 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[13] I1=$false I2=$false I3=$false O=$abc$1255$n193 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[10] I1=$false I2=$false I3=$false O=$abc$1255$n195 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[14] I1=$false I2=$false I3=$false O=$abc$1255$n197 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=counter[15] I1=$false I2=$false I3=$false O=$abc$1255$n199 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[0] I1=$false I2=$false I3=$false O=$abc$1255$n201 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[3] I1=$false I2=$false I3=$false O=$abc$1255$n203 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[5] I1=$false I2=$false I3=$false O=$abc$1255$n205 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[8] I1=$false I2=$false I3=$false O=$abc$1255$n207 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[9] I1=$false I2=$false I3=$false O=$abc$1255$n209 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[10] I1=$false I2=$false I3=$false O=$abc$1255$n211 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[11] I1=$false I2=$false I3=$false O=$abc$1255$n213 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[12] I1=$false I2=$false I3=$false O=$abc$1255$n215 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[14] I1=$false I2=$false I3=$false O=$abc$1255$n217 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[15] I1=$false I2=$false I3=$false O=$abc$1255$n219 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[0] I1=$false I2=$false I3=$false O=$abc$1255$n221 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[4] I1=$false I2=$false I3=$false O=$abc$1255$n223 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[5] I1=$false I2=$false I3=$false O=$abc$1255$n225 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[6] I1=$false I2=$false I3=$false O=$abc$1255$n227 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[7] I1=$false I2=$false I3=$false O=$abc$1255$n229 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[8] I1=$false I2=$false I3=$false O=$abc$1255$n231 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[9] I1=$false I2=$false I3=$false O=$abc$1255$n233 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[10] I1=$false I2=$false I3=$false O=$abc$1255$n235 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[12] I1=$false I2=$false I3=$false O=$abc$1255$n237 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[14] I1=$false I2=$false I3=$false O=$abc$1255$n239 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=gtrig[15] I1=$false I2=$false I3=$false O=$abc$1255$n241 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=btrig[11] I1=counter[11] I2=counter[8] I3=btrig[8] O=$abc$1255$n228_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000000001011 -.gate SB_LUT4 I0=gtrig[11] I1=counter[11] I2=counter[6] I3=gtrig[6] O=$abc$1255$n232_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=counter[15] I1=btrig[15] I2=counter[14] I3=btrig[14] O=$abc$1255$n236_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000000001011 -.gate SB_LUT4 I0=counter[1] I1=btrig[1] I2=$abc$1255$n236_1 I3=$abc$1255$n144_1 O=$abc$1255$n237_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=btrig[4] I1=counter[4] I2=$abc$1255$n132_1 I3=$abc$1255$n237_1 O=$abc$1255$n240_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=btrig[13] I1=counter[13] I2=counter[3] I3=btrig[3] O=$abc$1255$n241_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$1255$n241_1 I1=$abc$1255$n135_1 I2=$abc$1255$n136 I3=$abc$1255$n228_1 O=$abc$1255$n242 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$1255$n240_1 I1=$abc$1255$n242 I2=$abc$1255$n154 I3=$false O=$0\bl[0:0] -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=$abc$1255$n153 I1=gtrig[2] I2=counter[2] I3=$abc$1255$n259 O=$0\gl[0:0] -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1101011101010101 -.gate SB_LUT4 I0=counter[3] I1=gtrig[3] I2=gtrig[13] I3=counter[13] O=$abc$1255$n248_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000000001011 -.gate SB_LUT4 I0=counter[9] I1=gtrig[9] I2=counter[10] I3=gtrig[10] O=$abc$1255$n249_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=gtrig[3] I1=counter[3] I2=counter[0] I3=gtrig[0] O=$abc$1255$n252_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000000001011 -.gate SB_LUT4 I0=counter[4] I1=gtrig[4] I2=counter[15] I3=gtrig[15] O=$abc$1255$n253_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=counter[8] I1=gtrig[8] I2=counter[14] I3=gtrig[14] O=$abc$1255$n256_1 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=counter[1] I1=$abc$1255$n256_1 I2=$abc$1255$n152_1 I3=$abc$1255$n232_1 O=$abc$1255$n257 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$1255$n248_1 I1=$abc$1255$n249_1 I2=$abc$1255$n252_1 I3=$abc$1255$n253_1 O=$abc$1255$n258 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=counter[7] I1=gtrig[7] I2=$abc$1255$n257 I3=$abc$1255$n258 O=$abc$1255$n259 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_CARRY CI=$true CO=$auto$alumacc.cc:474:replace_alu$29.C[1] I0=counter[0] I1=$abc$1255$n201 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[10] CO=$auto$alumacc.cc:474:replace_alu$29.C[11] I0=counter[10] I1=$abc$1255$n211 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[11] CO=$auto$alumacc.cc:474:replace_alu$29.C[12] I0=counter[11] I1=$abc$1255$n213 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[12] CO=$auto$alumacc.cc:474:replace_alu$29.C[13] I0=counter[12] I1=$abc$1255$n215 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[13] CO=$auto$alumacc.cc:474:replace_alu$29.C[14] I0=counter[13] I1=$abc$1255$n169 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[14] CO=$auto$alumacc.cc:474:replace_alu$29.C[15] I0=counter[14] I1=$abc$1255$n217 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[15] CO=$abc$1255$n154 I0=counter[15] I1=$abc$1255$n219 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[1] CO=$auto$alumacc.cc:474:replace_alu$29.C[2] I0=counter[1] I1=$0\btrig[15:0][1] -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[2] CO=$auto$alumacc.cc:474:replace_alu$29.C[3] I0=counter[2] I1=$0\btrig[15:0][2] -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[3] CO=$auto$alumacc.cc:474:replace_alu$29.C[4] I0=counter[3] I1=$abc$1255$n203 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[4] CO=$auto$alumacc.cc:474:replace_alu$29.C[5] I0=counter[4] I1=$abc$1255$n163 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[5] CO=$auto$alumacc.cc:474:replace_alu$29.C[6] I0=counter[5] I1=$abc$1255$n205 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[6] CO=$auto$alumacc.cc:474:replace_alu$29.C[7] I0=counter[6] I1=$abc$1255$n165 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[7] CO=$auto$alumacc.cc:474:replace_alu$29.C[8] I0=counter[7] I1=$abc$1255$n167 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[8] CO=$auto$alumacc.cc:474:replace_alu$29.C[9] I0=counter[8] I1=$abc$1255$n207 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$29.C[9] CO=$auto$alumacc.cc:474:replace_alu$29.C[10] I0=counter[9] I1=$abc$1255$n209 -.attr src "blink.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$true CO=$auto$alumacc.cc:474:replace_alu$38.C[1] I0=counter[0] I1=$abc$1255$n221 -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[10] CO=$auto$alumacc.cc:474:replace_alu$38.C[11] I0=counter[10] I1=$abc$1255$n235 -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[11] CO=$auto$alumacc.cc:474:replace_alu$38.C[12] I0=counter[11] I1=$abc$1255$n159 -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[12] CO=$auto$alumacc.cc:474:replace_alu$38.C[13] I0=counter[12] I1=$abc$1255$n237 -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[13] CO=$auto$alumacc.cc:474:replace_alu$38.C[14] I0=counter[13] I1=$abc$1255$n161 -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[14] CO=$auto$alumacc.cc:474:replace_alu$38.C[15] I0=counter[14] I1=$abc$1255$n239 -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[15] CO=$abc$1255$n153 I0=counter[15] I1=$abc$1255$n241 -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[1] CO=$auto$alumacc.cc:474:replace_alu$38.C[2] I0=counter[1] I1=$true -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[2] CO=$auto$alumacc.cc:474:replace_alu$38.C[3] I0=counter[2] I1=$0\gtrig[15:0][2] -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[3] CO=$auto$alumacc.cc:474:replace_alu$38.C[4] I0=counter[3] I1=$0\gtrig[15:0][3] -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[4] CO=$auto$alumacc.cc:474:replace_alu$38.C[5] I0=counter[4] I1=$abc$1255$n223 -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[5] CO=$auto$alumacc.cc:474:replace_alu$38.C[6] I0=counter[5] I1=$abc$1255$n225 -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[6] CO=$auto$alumacc.cc:474:replace_alu$38.C[7] I0=counter[6] I1=$abc$1255$n227 -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[7] CO=$auto$alumacc.cc:474:replace_alu$38.C[8] I0=counter[7] I1=$abc$1255$n229 -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[8] CO=$auto$alumacc.cc:474:replace_alu$38.C[9] I0=counter[8] I1=$abc$1255$n231 -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$38.C[9] CO=$auto$alumacc.cc:474:replace_alu$38.C[10] I0=counter[9] I1=$abc$1255$n233 -.attr src "blink.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$true CO=$auto$alumacc.cc:474:replace_alu$47.C[1] I0=rtrig[0] I1=$abc$1255$n171 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[10] CO=$auto$alumacc.cc:474:replace_alu$47.C[11] I0=rtrig[10] I1=$abc$1255$n195 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[11] CO=$auto$alumacc.cc:474:replace_alu$47.C[12] I0=rtrig[11] I1=$abc$1255$n189 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[12] CO=$auto$alumacc.cc:474:replace_alu$47.C[13] I0=rtrig[12] I1=$abc$1255$n191 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[13] CO=$auto$alumacc.cc:474:replace_alu$47.C[14] I0=rtrig[13] I1=$abc$1255$n193 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[14] CO=$auto$alumacc.cc:474:replace_alu$47.C[15] I0=rtrig[14] I1=$abc$1255$n197 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[15] CO=$abc$1255$n155 I0=rtrig[15] I1=$abc$1255$n199 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[1] CO=$auto$alumacc.cc:474:replace_alu$47.C[2] I0=rtrig[1] I1=$0\counter[15:0][1] -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[2] CO=$auto$alumacc.cc:474:replace_alu$47.C[3] I0=rtrig[2] I1=$abc$1255$n173 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[3] CO=$auto$alumacc.cc:474:replace_alu$47.C[4] I0=rtrig[3] I1=$abc$1255$n175 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[4] CO=$auto$alumacc.cc:474:replace_alu$47.C[5] I0=rtrig[4] I1=$abc$1255$n177 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[5] CO=$auto$alumacc.cc:474:replace_alu$47.C[6] I0=rtrig[5] I1=$abc$1255$n179 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[6] CO=$auto$alumacc.cc:474:replace_alu$47.C[7] I0=rtrig[6] I1=$abc$1255$n181 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[7] CO=$auto$alumacc.cc:474:replace_alu$47.C[8] I0=rtrig[7] I1=$abc$1255$n183 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[8] CO=$auto$alumacc.cc:474:replace_alu$47.C[9] I0=rtrig[8] I1=$abc$1255$n185 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$47.C[9] CO=$auto$alumacc.cc:474:replace_alu$47.C[10] I0=rtrig[9] I1=$abc$1255$n187 -.attr src "blink.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=counter[0] I3=$false O=$0\counter[15:0][0] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=counter[10] I3=$auto$alumacc.cc:474:replace_alu$60.C[10] O=$0\counter[15:0][10] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$60.C[10] CO=$auto$alumacc.cc:474:replace_alu$60.C[11] I0=$false I1=counter[10] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=counter[11] I3=$auto$alumacc.cc:474:replace_alu$60.C[11] O=$0\counter[15:0][11] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$60.C[11] CO=$auto$alumacc.cc:474:replace_alu$60.C[12] I0=$false I1=counter[11] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=counter[12] I3=$auto$alumacc.cc:474:replace_alu$60.C[12] O=$0\counter[15:0][12] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$60.C[12] CO=$auto$alumacc.cc:474:replace_alu$60.C[13] I0=$false I1=counter[12] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=counter[13] I3=$auto$alumacc.cc:474:replace_alu$60.C[13] O=$0\counter[15:0][13] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$60.C[13] CO=$auto$alumacc.cc:474:replace_alu$60.C[14] I0=$false I1=counter[13] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=counter[14] I3=$auto$alumacc.cc:474:replace_alu$60.C[14] O=$0\counter[15:0][14] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$60.C[14] CO=$auto$alumacc.cc:474:replace_alu$60.C[15] I0=$false I1=counter[14] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=counter[15] I3=$auto$alumacc.cc:474:replace_alu$60.C[15] O=$0\counter[15:0][15] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=counter[0] CO=$auto$alumacc.cc:474:replace_alu$60.C[2] I0=$false I1=counter[1] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=counter[2] I3=$auto$alumacc.cc:474:replace_alu$60.C[2] O=$0\counter[15:0][2] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$60.C[2] CO=$auto$alumacc.cc:474:replace_alu$60.C[3] I0=$false I1=counter[2] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=counter[3] I3=$auto$alumacc.cc:474:replace_alu$60.C[3] O=$0\counter[15:0][3] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$60.C[3] CO=$auto$alumacc.cc:474:replace_alu$60.C[4] I0=$false I1=counter[3] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=counter[4] I3=$auto$alumacc.cc:474:replace_alu$60.C[4] O=$0\counter[15:0][4] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$60.C[4] CO=$auto$alumacc.cc:474:replace_alu$60.C[5] I0=$false I1=counter[4] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=counter[5] I3=$auto$alumacc.cc:474:replace_alu$60.C[5] O=$0\counter[15:0][5] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$60.C[5] CO=$auto$alumacc.cc:474:replace_alu$60.C[6] I0=$false I1=counter[5] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=counter[6] I3=$auto$alumacc.cc:474:replace_alu$60.C[6] O=$0\counter[15:0][6] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$60.C[6] CO=$auto$alumacc.cc:474:replace_alu$60.C[7] I0=$false I1=counter[6] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=counter[7] I3=$auto$alumacc.cc:474:replace_alu$60.C[7] O=$0\counter[15:0][7] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$60.C[7] CO=$auto$alumacc.cc:474:replace_alu$60.C[8] I0=$false I1=counter[7] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=counter[8] I3=$auto$alumacc.cc:474:replace_alu$60.C[8] O=$0\counter[15:0][8] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$60.C[8] CO=$auto$alumacc.cc:474:replace_alu$60.C[9] I0=$false I1=counter[8] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=counter[9] I3=$auto$alumacc.cc:474:replace_alu$60.C[9] O=$0\counter[15:0][9] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$60.C[9] CO=$auto$alumacc.cc:474:replace_alu$60.C[10] I0=$false I1=counter[9] -.attr src "blink.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rtrig[0] I3=$false O=$0\rtrig[15:0][0] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=rtrig[10] I3=$auto$alumacc.cc:474:replace_alu$63.C[10] O=$0\rtrig[15:0][10] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$63.C[10] CO=$auto$alumacc.cc:474:replace_alu$63.C[11] I0=$false I1=rtrig[10] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rtrig[11] I3=$auto$alumacc.cc:474:replace_alu$63.C[11] O=$0\rtrig[15:0][11] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$63.C[11] CO=$auto$alumacc.cc:474:replace_alu$63.C[12] I0=$false I1=rtrig[11] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rtrig[12] I3=$auto$alumacc.cc:474:replace_alu$63.C[12] O=$0\rtrig[15:0][12] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$63.C[12] CO=$auto$alumacc.cc:474:replace_alu$63.C[13] I0=$false I1=rtrig[12] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rtrig[13] I3=$auto$alumacc.cc:474:replace_alu$63.C[13] O=$0\rtrig[15:0][13] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$63.C[13] CO=$auto$alumacc.cc:474:replace_alu$63.C[14] I0=$false I1=rtrig[13] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rtrig[14] I3=$auto$alumacc.cc:474:replace_alu$63.C[14] O=$0\rtrig[15:0][14] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$63.C[14] CO=$auto$alumacc.cc:474:replace_alu$63.C[15] I0=$false I1=rtrig[14] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rtrig[15] I3=$auto$alumacc.cc:474:replace_alu$63.C[15] O=$0\rtrig[15:0][15] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=rtrig[1] CO=$auto$alumacc.cc:474:replace_alu$63.C[3] I0=$true I1=rtrig[2] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=rtrig[3] I3=$auto$alumacc.cc:474:replace_alu$63.C[3] O=$0\rtrig[15:0][3] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$63.C[3] CO=$auto$alumacc.cc:474:replace_alu$63.C[4] I0=$true I1=rtrig[3] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=rtrig[4] I3=$auto$alumacc.cc:474:replace_alu$63.C[4] O=$0\rtrig[15:0][4] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$63.C[4] CO=$auto$alumacc.cc:474:replace_alu$63.C[5] I0=$true I1=rtrig[4] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rtrig[5] I3=$auto$alumacc.cc:474:replace_alu$63.C[5] O=$0\rtrig[15:0][5] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$63.C[5] CO=$auto$alumacc.cc:474:replace_alu$63.C[6] I0=$false I1=rtrig[5] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rtrig[6] I3=$auto$alumacc.cc:474:replace_alu$63.C[6] O=$0\rtrig[15:0][6] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$63.C[6] CO=$auto$alumacc.cc:474:replace_alu$63.C[7] I0=$false I1=rtrig[6] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rtrig[7] I3=$auto$alumacc.cc:474:replace_alu$63.C[7] O=$0\rtrig[15:0][7] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$63.C[7] CO=$auto$alumacc.cc:474:replace_alu$63.C[8] I0=$false I1=rtrig[7] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rtrig[8] I3=$auto$alumacc.cc:474:replace_alu$63.C[8] O=$0\rtrig[15:0][8] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$63.C[8] CO=$auto$alumacc.cc:474:replace_alu$63.C[9] I0=$false I1=rtrig[8] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rtrig[9] I3=$auto$alumacc.cc:474:replace_alu$63.C[9] O=$0\rtrig[15:0][9] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$63.C[9] CO=$auto$alumacc.cc:474:replace_alu$63.C[10] I0=$false I1=rtrig[9] -.attr src "blink.v:22|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=btrig[0] I3=$false O=$0\btrig[15:0][0] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=btrig[10] I3=$auto$alumacc.cc:474:replace_alu$66.C[10] O=$0\btrig[15:0][10] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$66.C[10] CO=$auto$alumacc.cc:474:replace_alu$66.C[11] I0=$false I1=btrig[10] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=btrig[11] I3=$auto$alumacc.cc:474:replace_alu$66.C[11] O=$0\btrig[15:0][11] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$66.C[11] CO=$auto$alumacc.cc:474:replace_alu$66.C[12] I0=$false I1=btrig[11] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=btrig[12] I3=$auto$alumacc.cc:474:replace_alu$66.C[12] O=$0\btrig[15:0][12] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$66.C[12] CO=$auto$alumacc.cc:474:replace_alu$66.C[13] I0=$false I1=btrig[12] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=btrig[13] I3=$auto$alumacc.cc:474:replace_alu$66.C[13] O=$0\btrig[15:0][13] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$66.C[13] CO=$auto$alumacc.cc:474:replace_alu$66.C[14] I0=$false I1=btrig[13] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=btrig[14] I3=$auto$alumacc.cc:474:replace_alu$66.C[14] O=$0\btrig[15:0][14] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$66.C[14] CO=$auto$alumacc.cc:474:replace_alu$66.C[15] I0=$false I1=btrig[14] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=btrig[15] I3=$auto$alumacc.cc:474:replace_alu$66.C[15] O=$0\btrig[15:0][15] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=btrig[1] CO=$auto$alumacc.cc:474:replace_alu$66.C[3] I0=$true I1=btrig[2] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=btrig[3] I3=$auto$alumacc.cc:474:replace_alu$66.C[3] O=$0\btrig[15:0][3] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$66.C[3] CO=$auto$alumacc.cc:474:replace_alu$66.C[4] I0=$false I1=btrig[3] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=btrig[4] I3=$auto$alumacc.cc:474:replace_alu$66.C[4] O=$0\btrig[15:0][4] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$66.C[4] CO=$auto$alumacc.cc:474:replace_alu$66.C[5] I0=$true I1=btrig[4] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=btrig[5] I3=$auto$alumacc.cc:474:replace_alu$66.C[5] O=$0\btrig[15:0][5] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$66.C[5] CO=$auto$alumacc.cc:474:replace_alu$66.C[6] I0=$false I1=btrig[5] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=btrig[6] I3=$auto$alumacc.cc:474:replace_alu$66.C[6] O=$0\btrig[15:0][6] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$66.C[6] CO=$auto$alumacc.cc:474:replace_alu$66.C[7] I0=$false I1=btrig[6] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=btrig[7] I3=$auto$alumacc.cc:474:replace_alu$66.C[7] O=$0\btrig[15:0][7] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$66.C[7] CO=$auto$alumacc.cc:474:replace_alu$66.C[8] I0=$true I1=btrig[7] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=btrig[8] I3=$auto$alumacc.cc:474:replace_alu$66.C[8] O=$0\btrig[15:0][8] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$66.C[8] CO=$auto$alumacc.cc:474:replace_alu$66.C[9] I0=$false I1=btrig[8] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=btrig[9] I3=$auto$alumacc.cc:474:replace_alu$66.C[9] O=$0\btrig[15:0][9] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$66.C[9] CO=$auto$alumacc.cc:474:replace_alu$66.C[10] I0=$false I1=btrig[9] -.attr src "blink.v:23|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=gtrig[0] I3=$false O=$0\gtrig[15:0][0] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=gtrig[10] I3=$auto$alumacc.cc:474:replace_alu$69.C[10] O=$0\gtrig[15:0][10] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$69.C[10] CO=$auto$alumacc.cc:474:replace_alu$69.C[11] I0=$false I1=gtrig[10] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=gtrig[11] I3=$auto$alumacc.cc:474:replace_alu$69.C[11] O=$0\gtrig[15:0][11] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$69.C[11] CO=$auto$alumacc.cc:474:replace_alu$69.C[12] I0=$false I1=gtrig[11] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=gtrig[12] I3=$auto$alumacc.cc:474:replace_alu$69.C[12] O=$0\gtrig[15:0][12] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$69.C[12] CO=$auto$alumacc.cc:474:replace_alu$69.C[13] I0=$false I1=gtrig[12] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=gtrig[13] I3=$auto$alumacc.cc:474:replace_alu$69.C[13] O=$0\gtrig[15:0][13] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$69.C[13] CO=$auto$alumacc.cc:474:replace_alu$69.C[14] I0=$false I1=gtrig[13] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=gtrig[14] I3=$auto$alumacc.cc:474:replace_alu$69.C[14] O=$0\gtrig[15:0][14] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$69.C[14] CO=$auto$alumacc.cc:474:replace_alu$69.C[15] I0=$false I1=gtrig[14] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=gtrig[15] I3=$auto$alumacc.cc:474:replace_alu$69.C[15] O=$0\gtrig[15:0][15] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=gtrig[2] CO=$auto$alumacc.cc:474:replace_alu$69.C[4] I0=$true I1=gtrig[3] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=gtrig[4] I3=$auto$alumacc.cc:474:replace_alu$69.C[4] O=$0\gtrig[15:0][4] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$69.C[4] CO=$auto$alumacc.cc:474:replace_alu$69.C[5] I0=$false I1=gtrig[4] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=gtrig[5] I3=$auto$alumacc.cc:474:replace_alu$69.C[5] O=$0\gtrig[15:0][5] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$69.C[5] CO=$auto$alumacc.cc:474:replace_alu$69.C[6] I0=$true I1=gtrig[5] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=gtrig[6] I3=$auto$alumacc.cc:474:replace_alu$69.C[6] O=$0\gtrig[15:0][6] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$69.C[6] CO=$auto$alumacc.cc:474:replace_alu$69.C[7] I0=$false I1=gtrig[6] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=gtrig[7] I3=$auto$alumacc.cc:474:replace_alu$69.C[7] O=$0\gtrig[15:0][7] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$69.C[7] CO=$auto$alumacc.cc:474:replace_alu$69.C[8] I0=$false I1=gtrig[7] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=gtrig[8] I3=$auto$alumacc.cc:474:replace_alu$69.C[8] O=$0\gtrig[15:0][8] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$69.C[8] CO=$auto$alumacc.cc:474:replace_alu$69.C[9] I0=$true I1=gtrig[8] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=gtrig[9] I3=$auto$alumacc.cc:474:replace_alu$69.C[9] O=$0\gtrig[15:0][9] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$69.C[9] CO=$auto$alumacc.cc:474:replace_alu$69.C[10] I0=$false I1=gtrig[9] -.attr src "blink.v:24|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_DFF C=clk D=$0\rl[0:0] Q=rl -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\bl[0:0] Q=bl -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\gl[0:0] Q=gl -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][0] Q=counter[0] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=clk D=$0\counter[15:0][1] E=counter[0] Q=counter[1] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=clk D=$0\counter[15:0][2] Q=counter[2] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][3] Q=counter[3] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][4] Q=counter[4] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][5] Q=counter[5] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][6] Q=counter[6] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][7] Q=counter[7] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][8] Q=counter[8] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][9] Q=counter[9] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][10] Q=counter[10] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][11] Q=counter[11] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][12] Q=counter[12] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][13] Q=counter[13] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][14] Q=counter[14] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=clk D=$0\counter[15:0][15] Q=counter[15] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][0] E=$abc$1255$n3 Q=rtrig[0] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][1] E=$abc$1255$n3 Q=rtrig[1] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][2] E=$abc$1255$n135 Q=rtrig[2] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][3] E=$abc$1255$n3 Q=rtrig[3] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][4] E=$abc$1255$n3 Q=rtrig[4] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][5] E=$abc$1255$n3 Q=rtrig[5] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][6] E=$abc$1255$n3 Q=rtrig[6] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][7] E=$abc$1255$n3 Q=rtrig[7] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][8] E=$abc$1255$n3 Q=rtrig[8] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][9] E=$abc$1255$n3 Q=rtrig[9] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][10] E=$abc$1255$n3 Q=rtrig[10] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][11] E=$abc$1255$n3 Q=rtrig[11] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][12] E=$abc$1255$n3 Q=rtrig[12] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][13] E=$abc$1255$n3 Q=rtrig[13] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][14] E=$abc$1255$n3 Q=rtrig[14] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\rtrig[15:0][15] E=$abc$1255$n3 Q=rtrig[15] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][0] E=$abc$1255$n3 Q=gtrig[0] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][2] E=$abc$1255$n3 Q=gtrig[2] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][3] E=$abc$1255$n138 Q=gtrig[3] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][4] E=$abc$1255$n3 Q=gtrig[4] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][5] E=$abc$1255$n3 Q=gtrig[5] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][6] E=$abc$1255$n3 Q=gtrig[6] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][7] E=$abc$1255$n3 Q=gtrig[7] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][8] E=$abc$1255$n3 Q=gtrig[8] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][9] E=$abc$1255$n3 Q=gtrig[9] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][10] E=$abc$1255$n3 Q=gtrig[10] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][11] E=$abc$1255$n3 Q=gtrig[11] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][12] E=$abc$1255$n3 Q=gtrig[12] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][13] E=$abc$1255$n3 Q=gtrig[13] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][14] E=$abc$1255$n3 Q=gtrig[14] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\gtrig[15:0][15] E=$abc$1255$n3 Q=gtrig[15] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][0] E=$abc$1255$n3 Q=btrig[0] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][1] E=$abc$1255$n3 Q=btrig[1] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][2] E=$abc$1255$n4 Q=btrig[2] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][3] E=$abc$1255$n3 Q=btrig[3] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][4] E=$abc$1255$n3 Q=btrig[4] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][5] E=$abc$1255$n3 Q=btrig[5] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][6] E=$abc$1255$n3 Q=btrig[6] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][7] E=$abc$1255$n3 Q=btrig[7] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][8] E=$abc$1255$n3 Q=btrig[8] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][9] E=$abc$1255$n3 Q=btrig[9] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][10] E=$abc$1255$n3 Q=btrig[10] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][11] E=$abc$1255$n3 Q=btrig[11] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][12] E=$abc$1255$n3 Q=btrig[12] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][13] E=$abc$1255$n3 Q=btrig[13] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][14] E=$abc$1255$n3 Q=btrig[14] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=clk D=$0\btrig[15:0][15] E=$abc$1255$n3 Q=btrig[15] -.attr src "blink.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.names $false gtrig[1] -1 1 -.end -- GitLab