From de782efd1f7400c5f20beb62b300615f42720386 Mon Sep 17 00:00:00 2001 From: natsfr Date: Mon, 19 Mar 2018 01:38:01 +0100 Subject: [PATCH] adding component for labclock --- Amplifier/TI/opa693.lib | 44 ++++++++++++++++ Connectors/RF/RF_Connectors.lib | 22 +++++++- Level Shifter/sn74lv4t125.lib | 30 +++++++++++ Oscillator/OCXO/ndk_nh26m26lg.lib | 22 ++++++++ Oscillator/PLL/adf4001_tssop16.lib | 33 ++++++++++++ Oscillator/Xtal/xtals.lib | 50 +++++++++++++------ Passive/passives.lib | 16 ++++++ Power/power_symbols.lib | 14 ++++++ .../BNC_RHT-610-0048.kicad_mod | 19 +++++++ .../3.45MM_NOTPLATED.kicad_mod | 9 ++++ .../NDK_NH26M26LG.kicad_mod | 18 +++++++ Relay/axicom_imrelay.lib | 36 +++++++++++++ Transistors/NMOS.lib | 38 ++++++++++++++ VRef/LTC/lt1461.lib | 24 +++++++++ 14 files changed, 359 insertions(+), 16 deletions(-) create mode 100644 Amplifier/TI/opa693.lib create mode 100644 Level Shifter/sn74lv4t125.lib create mode 100644 Oscillator/OCXO/ndk_nh26m26lg.lib create mode 100644 Oscillator/PLL/adf4001_tssop16.lib create mode 100644 Pretty_Footprints/Connectors_RF.pretty/BNC_RHT-610-0048.kicad_mod create mode 100644 Pretty_Footprints/Mounting_Holes.pretty/3.45MM_NOTPLATED.kicad_mod create mode 100644 Pretty_Footprints/Oscillators.pretty/NDK_NH26M26LG.kicad_mod create mode 100644 Relay/axicom_imrelay.lib create mode 100644 Transistors/NMOS.lib create mode 100644 VRef/LTC/lt1461.lib diff --git a/Amplifier/TI/opa693.lib b/Amplifier/TI/opa693.lib new file mode 100644 index 0000000..08169d8 --- /dev/null +++ b/Amplifier/TI/opa693.lib @@ -0,0 +1,44 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# OPA693 +# +DEF OPA693 U 0 40 Y Y 1 F N +F0 "U" -100 450 25 H V C CNN +F1 "OPA693" -100 400 25 H V C CNN +F2 "" 0 0 25 H I C CNN +F3 "" 0 0 25 H I C CNN +DRAW +X NC 1 -150 -250 100 U 30 30 0 0 N +X IN- 2 -300 100 100 R 30 30 0 0 I +X IN+ 3 -300 0 100 R 30 30 0 0 I +X VS- 4 50 -250 100 U 30 30 0 0 W +X NC 5 -50 -250 100 U 30 30 0 0 N +X OUT 6 250 50 100 L 30 30 0 0 O +X VS+ 7 50 450 100 D 30 30 0 0 W +X ~DIS 8 -300 200 100 R 30 30 0 0 I +S 150 350 -200 -150 0 1 0 N +ENDDRAW +ENDDEF +# +# OPA693_SO8 +# +DEF OPA693_SO8 U 0 40 Y Y 1 F N +F0 "U" -100 450 25 H V C CNN +F1 "OPA693_SO8" -100 400 25 H V C CNN +F2 "" 0 0 25 H I C CNN +F3 "" 0 0 25 H I C CNN +DRAW +X NC 1 -150 -250 100 U 30 30 0 0 N +X IN- 2 -300 100 100 R 30 30 0 0 I +X IN+ 3 -300 0 100 R 30 30 0 0 I +X VS- 4 50 -250 100 U 30 30 0 0 W +X NC 5 -50 -250 100 U 30 30 0 0 N +X OUT 6 250 50 100 L 30 30 0 0 O +X VS+ 7 50 450 100 D 30 30 0 0 W +X ~DIS 8 -300 200 100 R 30 30 0 0 I +S 150 350 -200 -150 0 1 0 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/Connectors/RF/RF_Connectors.lib b/Connectors/RF/RF_Connectors.lib index dbafb83..b20f090 100644 --- a/Connectors/RF/RF_Connectors.lib +++ b/Connectors/RF/RF_Connectors.lib @@ -1,11 +1,31 @@ -EESchema-LIBRARY Version 2.3 Date: 17/03/2013 14:51:51 +EESchema-LIBRARY Version 2.3 #encoding utf-8 # +# BNC +# +DEF BNC J 0 40 Y N 1 F N +F0 "J" 0 250 60 H V C CNN +F1 "BNC" 0 150 60 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +DRAW +C 0 0 71 0 1 0 N +S -100 100 100 -100 0 1 0 N +P 2 0 1 0 -100 0 0 0 N +P 2 0 1 0 -50 -50 -100 -50 N +X SIG 1 -200 0 100 R 25 25 1 1 P +X GND 2 -200 -50 100 R 25 25 1 1 P +X SHIELD 3 0 -200 100 U 25 25 1 1 P +ENDDRAW +ENDDEF +# # SMA_PCB # DEF SMA_PCB J 0 40 Y Y 1 F N F0 "J" 300 200 60 H V C CNN F1 "SMA_PCB" 0 200 60 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN DRAW S -200 -50 -100 -50 0 1 0 N S -200 50 -200 -50 0 1 0 N diff --git a/Level Shifter/sn74lv4t125.lib b/Level Shifter/sn74lv4t125.lib new file mode 100644 index 0000000..10bc242 --- /dev/null +++ b/Level Shifter/sn74lv4t125.lib @@ -0,0 +1,30 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# SN74LV4T125 +# +DEF SN74LV4T125 U 0 40 Y Y 1 F N +F0 "U" 400 -150 25 H V C CNN +F1 "SN74LV4T125" 450 0 25 H V C CNN +F2 "" 0 0 25 H I C CNN +F3 "" 0 0 25 H I C CNN +DRAW +X ~OE1 1 -250 100 100 R 30 30 0 0 I +X 1A 2 -250 50 100 R 30 30 0 0 I +X 1Y 3 250 100 100 L 30 30 0 0 O +X ~OE2 4 -250 -50 100 R 30 30 0 0 I +X 2A 5 -250 -100 100 R 30 30 0 0 I +X 2Y 6 250 -50 100 L 30 30 0 0 O +X GND 7 0 -550 100 U 30 30 0 0 W +X 3Y 8 250 -200 100 L 30 30 0 0 O +X 3A 9 -250 -250 100 R 30 30 0 0 I +X ~OE3 10 -250 -200 100 R 30 30 0 0 I +X 4Y 11 250 -350 100 L 30 30 0 0 O +X 4A 12 -250 -400 100 R 30 30 0 0 I +X ~OE4 13 -250 -350 100 R 30 30 0 0 I +X Vcc 14 0 250 100 D 30 30 0 0 W +S -150 150 150 -450 0 1 0 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/Oscillator/OCXO/ndk_nh26m26lg.lib b/Oscillator/OCXO/ndk_nh26m26lg.lib new file mode 100644 index 0000000..08401e5 --- /dev/null +++ b/Oscillator/OCXO/ndk_nh26m26lg.lib @@ -0,0 +1,22 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# NDK_NH26M26LG +# +DEF NDK_NH26M26LG OCXO 0 40 Y Y 1 F N +F0 "OCXO" 400 100 60 H V C CNN +F1 "NDK_NH26M26LG" 500 -100 60 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H I C CNN +DRAW +T 0 0 350 60 0 0 0 10MHz~5V Normal 0 C C +S -200 250 200 -50 0 1 0 N +X OUT 1 300 200 100 L 25 25 1 1 O +X GND 2 0 -150 100 U 25 25 1 1 W +X Vcont 3 -300 0 100 R 25 25 1 1 I +X NC 4 300 0 100 L 25 25 1 1 N +X VCC 5 -300 200 100 R 25 25 1 1 W +ENDDRAW +ENDDEF +# +#End Library diff --git a/Oscillator/PLL/adf4001_tssop16.lib b/Oscillator/PLL/adf4001_tssop16.lib new file mode 100644 index 0000000..824cf7e --- /dev/null +++ b/Oscillator/PLL/adf4001_tssop16.lib @@ -0,0 +1,33 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# ADF4001_TSSOP16 +# +DEF ADF4001_TSSOP16 U 0 40 Y Y 1 F N +F0 "U" -200 -550 25 H V C CNN +F1 "ADF4001_TSSOP16" 350 -550 25 H V C CNN +F2 "" 0 0 25 H I C CNN +F3 "" 0 0 25 H I C CNN +DRAW +X RSET 1 400 -400 100 L 30 30 0 0 I +X CP 2 400 50 100 L 30 30 0 0 O +X CPGND 3 100 -600 100 U 30 30 0 0 W +X AGND 4 50 -600 100 U 30 30 0 0 W +X RefinB 5 400 -200 100 L 30 30 0 0 I +X RefinA 6 400 -150 100 L 30 30 0 0 I +X AVdd 7 100 200 100 D 30 30 0 0 W +X FIN 8 -250 50 100 R 30 30 0 0 I +X DGND 9 0 -600 100 U 30 30 0 0 W +X CE 10 -250 -100 100 R 30 30 0 0 I +X CLK 11 -250 -150 100 R 30 30 0 0 I +X DATA 12 -250 -200 100 R 30 30 0 0 I +X LE 13 -250 -250 100 R 30 30 0 0 I +X MUXOUT 14 -250 -300 100 R 30 30 0 0 O +X DVdd 15 50 200 100 D 30 30 0 0 W +X VP 16 150 200 100 D 30 30 0 0 W +X PAD 17 -50 -600 100 U 30 30 0 0 W +S -150 100 300 -500 0 1 0 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/Oscillator/Xtal/xtals.lib b/Oscillator/Xtal/xtals.lib index bf90f37..db7d724 100644 --- a/Oscillator/Xtal/xtals.lib +++ b/Oscillator/Xtal/xtals.lib @@ -10,11 +10,11 @@ F2 "" 0 0 60 H V C CNN F3 "" 0 0 60 H V C CNN DRAW S -200 150 200 -150 0 1 0 N -P 2 0 1 0 -100 50 0 -50 N -P 2 0 1 0 -50 100 50 0 N -P 3 0 1 0 -200 -100 -150 -100 -50 0 N -P 3 0 1 0 0 50 50 100 200 100 N -P 6 0 1 0 -70 40 -10 -20 15 10 -40 65 -45 70 -70 40 N +P 2 0 1 0 -100 50 0 -50 N +P 2 0 1 0 -50 100 50 0 N +P 3 0 1 0 -200 -100 -150 -100 -50 0 N +P 3 0 1 0 0 50 50 100 200 100 N +P 6 0 1 0 -70 40 -10 -20 15 10 -40 65 -45 70 -70 40 N X ~ 1 -250 -100 50 R 25 25 1 1 I X GND 2 250 -100 50 L 25 25 1 1 W X ~ 3 250 100 50 L 25 25 1 1 I @@ -31,11 +31,11 @@ F2 "" 0 0 60 H V C CNN F3 "" 0 0 60 H V C CNN DRAW S -125 125 75 -75 0 1 0 N -P 2 0 1 0 -100 50 0 -50 N -P 2 0 1 0 -50 100 50 0 N -P 3 0 1 0 -50 0 -100 -50 -125 -50 N -P 3 0 1 0 0 50 50 100 75 100 N -P 6 0 1 0 -70 40 -10 -20 15 10 -40 65 -45 70 -70 40 N +P 2 0 1 0 -100 50 0 -50 N +P 2 0 1 0 -50 100 50 0 N +P 3 0 1 0 -50 0 -100 -50 -125 -50 N +P 3 0 1 0 0 50 50 100 75 100 N +P 6 0 1 0 -70 40 -10 -20 15 10 -40 65 -45 70 -70 40 N X ~ 1 -175 -50 50 R 25 25 1 1 I X ~ 2 125 -50 50 L 25 25 1 1 P X ~ 3 125 100 50 L 25 25 1 1 I @@ -52,11 +52,11 @@ F2 "" 0 0 60 H V C CNN F3 "" 0 0 60 H V C CNN DRAW S -200 150 200 -150 0 1 0 N -P 2 0 1 0 -100 50 0 -50 N -P 2 0 1 0 -50 100 50 0 N -P 3 0 1 0 -200 -100 -150 -100 -50 0 N -P 3 0 1 0 0 50 50 100 200 100 N -P 6 0 1 0 -70 40 -10 -20 15 10 -40 65 -45 70 -70 40 N +P 2 0 1 0 -100 50 0 -50 N +P 2 0 1 0 -50 100 50 0 N +P 3 0 1 0 -200 -100 -150 -100 -50 0 N +P 3 0 1 0 0 50 50 100 200 100 N +P 6 0 1 0 -70 40 -10 -20 15 10 -40 65 -45 70 -70 40 N X ~ 1 -250 -100 50 R 25 25 1 1 I X GND 2 250 -100 50 L 25 25 1 1 W X ~ 3 250 100 50 L 25 25 1 1 I @@ -64,4 +64,24 @@ X GND 4 -250 100 50 R 25 25 1 1 W ENDDRAW ENDDEF # +# XTAL_2pins +# +DEF XTAL_2pins X 0 40 Y Y 1 F N +F0 "X" 0 300 39 H V C CNN +F1 "XTAL_2pins" 0 200 39 H V C CNN +F2 "" 0 0 39 H I C CNN +F3 "" 0 0 39 H I C CNN +DRAW +X ~ 1 -200 0 100 R 30 30 0 0 P +X ~ 2 200 0 100 L 30 30 0 0 P +P 2 0 1 0 -100 -50 -100 -100 N +P 2 0 1 0 -100 50 -100 -50 N +P 2 0 1 0 -100 100 -100 50 N +P 2 0 1 0 100 -50 100 -100 N +P 2 0 1 0 100 50 100 -50 N +P 2 0 1 0 100 100 100 50 N +P 5 0 1 0 -50 50 50 50 50 -50 -50 -50 -50 50 N +ENDDRAW +ENDDEF +# #End Library diff --git a/Passive/passives.lib b/Passive/passives.lib index 2017c76..360dbeb 100644 --- a/Passive/passives.lib +++ b/Passive/passives.lib @@ -199,6 +199,22 @@ X K K 100 0 50 L 25 25 1 1 I ENDDRAW ENDDEF # +# POT +# +DEF POT RV 0 0 N N 1 F N +F0 "RV" -50 -50 25 H V C CNN +F1 "POT" 50 -50 25 H V C CNN +F2 "" 0 0 60 H I C CNN +F3 "" 0 0 60 H V C CNN +DRAW +X 2 2 -100 150 50 R 30 30 0 0 P +P 2 0 1 0 0 50 -50 150 N +P 7 0 1 0 -50 0 -40 30 -20 -30 0 30 20 -30 40 30 50 0 N +X 1 1 -100 0 50 R 50 50 1 1 I +X 3 3 100 0 50 L 50 50 1 1 I +ENDDRAW +ENDDEF +# # RES_0402 # DEF RES_0402 R 0 0 N N 1 F N diff --git a/Power/power_symbols.lib b/Power/power_symbols.lib index bb788ea..b3a13dc 100644 --- a/Power/power_symbols.lib +++ b/Power/power_symbols.lib @@ -15,6 +15,20 @@ P 3 0 1 0 0 0 0 40 0 40 N ENDDRAW ENDDEF # +# +12V +# +DEF +12V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -40 30 H I C CNN +F1 "+12V" 0 110 30 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +X +12V 1 0 0 0 U 30 30 0 0 W N +C 0 60 20 0 1 0 N +P 3 0 1 0 0 0 0 40 0 40 N +ENDDRAW +ENDDEF +# # +3.3V # DEF +3.3V #PWR 0 0 Y Y 1 F P diff --git a/Pretty_Footprints/Connectors_RF.pretty/BNC_RHT-610-0048.kicad_mod b/Pretty_Footprints/Connectors_RF.pretty/BNC_RHT-610-0048.kicad_mod new file mode 100644 index 0000000..1b662e0 --- /dev/null +++ b/Pretty_Footprints/Connectors_RF.pretty/BNC_RHT-610-0048.kicad_mod @@ -0,0 +1,19 @@ +(module BNC_RHT-610-0048 (layer F.Cu) (tedit 5AAD8075) + (fp_text reference REF** (at 0 3.683) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value BNC_RHT-610-0048 (at 0 5.969) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -6.5 -33.65) (end 6.5 -33.65) (layer F.SilkS) (width 0.15)) + (fp_line (start 6.5 -12.95) (end 6.5 -33.65) (layer F.SilkS) (width 0.15)) + (fp_line (start -6.5 -12.95) (end -6.5 -33.65) (layer F.SilkS) (width 0.15)) + (fp_line (start -7.2 -12.95) (end 7.2 -12.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -7.2 1.15) (end -7.2 -12.95) (layer F.SilkS) (width 0.15)) + (fp_line (start 7.2 1.15) (end 7.2 -12.95) (layer F.SilkS) (width 0.15)) + (fp_line (start -7.2 1.15) (end 7.2 1.15) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole circle (at 0 0) (size 1.3 1.3) (drill 0.9) (layers *.Cu *.Mask)) + (pad 2 thru_hole circle (at -2.54 0) (size 1.3 1.3) (drill 0.9) (layers *.Cu *.Mask)) + (pad 3 thru_hole circle (at 5.08 -5.08) (size 2.42 2.42) (drill 2.02) (layers *.Cu *.Mask)) + (pad 3 thru_hole circle (at -5.08 -5.08) (size 2.42 2.42) (drill 2.02) (layers *.Cu *.Mask)) +) diff --git a/Pretty_Footprints/Mounting_Holes.pretty/3.45MM_NOTPLATED.kicad_mod b/Pretty_Footprints/Mounting_Holes.pretty/3.45MM_NOTPLATED.kicad_mod new file mode 100644 index 0000000..0d16d16 --- /dev/null +++ b/Pretty_Footprints/Mounting_Holes.pretty/3.45MM_NOTPLATED.kicad_mod @@ -0,0 +1,9 @@ +(module 3.45MM_NOTPLATED (layer F.Cu) (tedit 5AAD4130) + (fp_text reference REF** (at 0 3.048) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3.45MM_NOTPLATED (at 0 -2.921) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0) (size 3.45 3.45) (drill 3.45) (layers *.Cu *.Mask)) +) diff --git a/Pretty_Footprints/Oscillators.pretty/NDK_NH26M26LG.kicad_mod b/Pretty_Footprints/Oscillators.pretty/NDK_NH26M26LG.kicad_mod new file mode 100644 index 0000000..492e865 --- /dev/null +++ b/Pretty_Footprints/Oscillators.pretty/NDK_NH26M26LG.kicad_mod @@ -0,0 +1,18 @@ +(module NDK_NH26M26LG (layer F.Cu) (tedit 5AAD88C9) + (fp_text reference REF** (at 0 2.921) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NDK_NH26M26LG (at 0 -4.191) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center -12 12) (end -11.303 11.938) (layer F.SilkS) (width 0.15)) + (fp_line (start -13 -13) (end -13 13) (layer F.SilkS) (width 0.15)) + (fp_line (start 13 -13) (end -13 -13) (layer F.SilkS) (width 0.15)) + (fp_line (start 13 13) (end 13 -13) (layer F.SilkS) (width 0.15)) + (fp_line (start -13 13) (end 13 13) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole circle (at -9.525 9.525) (size 1.5 1.5) (drill 1.1) (layers *.Cu *.Mask)) + (pad 2 thru_hole circle (at 0 9.525) (size 1.5 1.5) (drill 1.1) (layers *.Cu *.Mask)) + (pad 3 thru_hole circle (at 9.525 9.525) (size 1.5 1.5) (drill 1.1) (layers *.Cu *.Mask)) + (pad 4 thru_hole circle (at 9.525 -9.525) (size 1.5 1.5) (drill 1.1) (layers *.Cu *.Mask)) + (pad 5 thru_hole circle (at -9.525 -9.525) (size 1.5 1.5) (drill 1.1) (layers *.Cu *.Mask)) +) diff --git a/Relay/axicom_imrelay.lib b/Relay/axicom_imrelay.lib new file mode 100644 index 0000000..65e5d1c --- /dev/null +++ b/Relay/axicom_imrelay.lib @@ -0,0 +1,36 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# Axicom_IMRelay +# +DEF Axicom_IMRelay REL 0 40 Y N 1 F N +F0 "REL" 0 0 39 H V C CNN +F1 "Axicom_IMRelay" 0 -350 39 H V C CNN +F2 "" 0 0 39 H I C CNN +F3 "" 0 0 39 H I C CNN +DRAW +T 0 -250 -100 39 0 0 0 + Normal 0 C C +X ~ 1 -150 -200 100 U 30 30 0 0 I +X ~ 2 0 -200 100 U 30 30 0 0 P +X ~ 3 100 -200 100 U 30 30 0 0 P +X ~ 4 200 -200 100 U 30 30 0 0 P +X ~ 5 200 200 100 D 30 30 0 0 P +X ~ 6 100 200 100 D 30 30 0 0 P +X ~ 7 0 200 100 D 30 30 0 0 P +X ~ 8 -150 200 100 D 30 30 0 0 P +S -200 100 250 -100 0 1 0 N +P 2 0 1 0 -150 -100 -150 -50 N +P 2 0 1 0 -150 100 -150 50 N +P 2 0 1 0 0 -100 0 -50 N +P 2 0 1 0 0 -50 50 -50 N +P 2 0 1 0 0 50 50 50 N +P 2 0 1 0 0 100 0 50 N +P 2 0 1 0 100 -100 50 -50 N +P 2 0 1 0 100 100 50 50 N +P 3 0 1 0 200 -100 200 -50 150 -50 N +P 3 0 1 0 200 100 200 50 150 50 N +P 4 0 1 0 -200 50 -100 50 -100 -50 -200 -50 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/Transistors/NMOS.lib b/Transistors/NMOS.lib new file mode 100644 index 0000000..7f4be5e --- /dev/null +++ b/Transistors/NMOS.lib @@ -0,0 +1,38 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# BSS138 +# +DEF BSS138 Q 0 0 Y N 1 F N +F0 "Q" 200 75 50 H V L CNN +F1 "BSS138" 200 0 50 H V L CNN +F2 "TO_SOT_Packages_SMD:SOT-23" 200 -75 50 H I L CIN +F3 "" 0 0 50 H I L CNN +$FPLIST + SOT?23* +$ENDFPLIST +DRAW +C 65 0 111 0 1 10 N +C 100 -70 11 0 1 0 F +C 100 70 11 0 1 0 F +P 2 0 1 0 30 -70 100 -70 N +P 2 0 1 10 30 -50 30 -90 N +P 2 0 1 0 30 0 100 0 N +P 2 0 1 10 30 20 30 -20 N +P 2 0 1 0 30 70 100 70 N +P 2 0 1 10 30 90 30 50 N +P 2 0 1 0 100 -70 100 -100 N +P 2 0 1 0 100 -70 100 0 N +P 2 0 1 0 100 100 100 70 N +P 3 0 1 10 10 75 10 -75 10 -75 N +P 4 0 1 0 40 0 80 15 80 -15 40 0 F +P 4 0 1 0 100 -70 130 -70 130 70 100 70 N +P 4 0 1 0 110 20 115 15 145 15 150 10 N +P 4 0 1 0 130 15 115 -10 145 -10 130 15 N +X G 1 -200 0 210 R 50 50 1 1 I +X S 2 100 -200 100 U 50 50 1 1 P +X D 3 100 200 100 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +#End Library diff --git a/VRef/LTC/lt1461.lib b/VRef/LTC/lt1461.lib new file mode 100644 index 0000000..3887ee9 --- /dev/null +++ b/VRef/LTC/lt1461.lib @@ -0,0 +1,24 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# LT1461 +# +DEF LT1461 U 0 40 Y Y 1 F N +F0 "U" 0 200 39 H V C CNN +F1 "LT1461" 0 250 39 H V C CNN +F2 "" -50 0 39 H I C CNN +F3 "" -50 0 39 H I C CNN +DRAW +X ~ 1 -50 -200 100 U 30 30 0 0 N +X Vin 2 -250 100 100 R 30 30 0 0 W +X ~SHDN 3 250 -50 100 L 30 30 0 0 I +X GND 4 -100 -200 100 U 30 30 0 0 W +X ~ 5 0 -200 100 U 30 30 0 0 N +X Vout 6 250 100 100 L 30 30 0 0 O +X ~ 7 50 -200 100 U 30 30 0 0 N +X ~ 8 100 -200 100 U 30 30 0 0 N +S -150 150 150 -100 0 1 0 N +ENDDRAW +ENDDEF +# +#End Library -- GitLab