usage |
- actual_expansions=4044072 |
+ actual_expansions=3990558 |
bogomips=7008 |
bram18=1 |
bram36=1 |
@@ -2769,7 +2775,7 @@
ctrls=309 |
dsp=0 |
effort=2 |
- estimated_expansions=6534426 |
+ estimated_expansions=6521268 |
ff=6018 |
global_clocks=1 |
high_fanout_nets=3 |
@@ -2830,10 +2836,10 @@
usage |
- elapsed=00:00:29s |
+ elapsed=00:00:28s |
hls_ip=0 |
- memory_gain=446.809MB |
- memory_peak=1687.023MB |
+ memory_gain=446.816MB |
+ memory_peak=1689.422MB |
|
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/impl_1/usage_statistics_webtalk.xml b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/impl_1/usage_statistics_webtalk.xml
index 8a362d78a452e590402e419182c2fbbe8dce14d4..2c96a94eee247527b38435f1efb4d1f4d9dd2f80 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/impl_1/usage_statistics_webtalk.xml
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/impl_1/usage_statistics_webtalk.xml
@@ -1,14 +1,14 @@
-
+
-
+
-
+
@@ -20,7 +20,7 @@
-
+
@@ -604,18 +604,18 @@
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
@@ -2195,10 +2195,10 @@
-
-
-
-
+
+
+
+
@@ -2212,13 +2212,13 @@
-
-
-
+
+
+
-
-
+
+
@@ -2231,18 +2231,18 @@
-
-
+
+
-
-
+
+
-
+
-
+
@@ -2288,7 +2288,7 @@
-
+
@@ -2298,7 +2298,7 @@
-
+
@@ -2352,10 +2352,10 @@
@@ -2424,10 +2424,10 @@
-
+
-
-
+
+
@@ -2444,13 +2444,14 @@
-
-
-
+
+
+
+
@@ -2458,26 +2459,26 @@
-
-
+
+
-
-
+
+
-
+
-
-
+
+
-
+
@@ -2488,32 +2489,33 @@
-
+
-
+
-
+
-
-
+
+
-
+
-
+
-
-
+
+
+
-
+
@@ -2522,7 +2524,7 @@
-
+
@@ -2537,31 +2539,32 @@
-
+
-
+
+
-
-
-
-
+
+
+
+
-
+
-
-
-
+
+
+
@@ -2573,15 +2576,17 @@
-
-
+
+
+
+
-
+
-
+
@@ -2589,32 +2594,33 @@
-
+
-
-
+
+
-
+
-
+
-
+
-
+
-
-
+
+
+
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/impl_1/vivado.pb b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/impl_1/vivado.pb
index e67198d522171b417d03d6a5c7ec88afbe2bf3a5..547dea6dac18924af2b8a3ef47d5fe9b5b021f59 100644
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/impl_1/vivado.pb and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/impl_1/vivado.pb differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/impl_1/write_bitstream.pb b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/impl_1/write_bitstream.pb
index 8c5ccbe25fb3ffe33a08f8b59474f85a39fff47e..0da46c5f995f3f35ce898417a1036af91628fa0c 100644
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/impl_1/write_bitstream.pb and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/impl_1/write_bitstream.pb differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_LTC2271_SampleGetter_0_0_synth_1/gen_run.xml b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_LTC2271_SampleGetter_0_0_synth_1/gen_run.xml
index ba3d8c097e574626644e271cb5a6e7b644bb9bbb..348396af9b31b2b8c901d42953ea6817cb76123d 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_LTC2271_SampleGetter_0_0_synth_1/gen_run.xml
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_LTC2271_SampleGetter_0_0_synth_1/gen_run.xml
@@ -1,9 +1,9 @@
+
-
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/.vivado.begin.rst b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/.vivado.begin.rst
index ce13dfe290d6adffc2d950cefd1e9564718f02eb..dcc1ed068a0172ff2ea0c338fcea04245fd5338a 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/.vivado.begin.rst
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/.vivado.begin.rst
@@ -1,5 +1,5 @@
-
+
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/gen_run.xml b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/gen_run.xml
index 7a997a7dcc04d4c24b94188086dcf41ba9b75d6a..1c22694753f13b0879575e1c58f13564c679db13 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/gen_run.xml
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/gen_run.xml
@@ -1,11 +1,14 @@
-
+
+
+
-
-
-
+
+
+
+
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.dcp b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.dcp
index c42fdd0eb6c3c28ca444f27086e0630429027184..4d55cf74a10af523bd15ed38fce11357ba24b6a3 100644
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.dcp and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.dcp differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.tcl b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.tcl
index 72f3da3b5d5d9588416413a456b47b8a05d41d86..fca962079b81a10bcb39f687c14d472a764817e2 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.tcl
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.tcl
@@ -31,9 +31,9 @@ set_property default_lib xil_defaultlib [current_project]
set_property target_language Verilog [current_project]
set_property board_part em.avnet.com:microzed_7010:part0:1.1 [current_project]
set_property ip_repo_paths {
- /home/nats/project/VNAV2_Zynq/IP/VNA_Config/VNA_Config_1.0
/home/nats/project/VNAV2_Zynq/IP/VNA_Config/VNA_Config_1.0
/home/nats/project/VNAV2_Zynq/IP/VNA_PeripheralConfig/VNA_PeripheralConfig_1.0
+ /home/nats/project/VNAV2_Zynq/IP/LTC2271_ADCGetter
} [current_project]
set_property ip_output_repo /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.cache/ip [current_project]
set_property ip_cache_permissions {read write} [current_project]
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.vds b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.vds
index bb8b213aa1e610dfbfdcd3784b9edf3a5e00b294..9ac3cc89b3dcd57f51eb6c861531e815cc91c855 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.vds
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.vds
@@ -2,8 +2,8 @@
# Vivado v2017.4 (64-bit)
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
-# Start of session at: Fri Jul 12 14:20:07 2019
-# Process ID: 28198
+# Start of session at: Sun Oct 20 22:43:03 2019
+# Process ID: 6057
# Current directory: /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1
# Command line: vivado -log mz_petalinux_processing_system7_0_0.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source mz_petalinux_processing_system7_0_0.tcl
# Log file: /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.vds
@@ -15,9 +15,9 @@ Starting synth_design
Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010'
INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010'
INFO: Launching helper process for spawning children vivado processes
-INFO: Helper process launched with PID 28295
+INFO: Helper process launched with PID 6064
---------------------------------------------------------------------------------
-Starting RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1316.621 ; gain = 87.996 ; free physical = 7501 ; free virtual = 11931
+Starting RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1316.156 ; gain = 86.996 ; free physical = 3857 ; free virtual = 10495
---------------------------------------------------------------------------------
INFO: [Synth 8-638] synthesizing module 'mz_petalinux_processing_system7_0_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/ip/mz_petalinux_processing_system7_0_0/synth/mz_petalinux_processing_system7_0_0.v:60]
INFO: [Synth 8-638] synthesizing module 'processing_system7_v5_5_processing_system7' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/ip/mz_petalinux_processing_system7_0_0/hdl/verilog/processing_system7_v5_5_processing_system7.v:162]
@@ -168,7 +168,7 @@ WARNING: [Synth 8-3331] design processing_system7_v5_5_processing_system7 has un
WARNING: [Synth 8-3331] design processing_system7_v5_5_processing_system7 has unconnected port FTMD_TRACEIN_ATID[1]
WARNING: [Synth 8-3331] design processing_system7_v5_5_processing_system7 has unconnected port FTMD_TRACEIN_ATID[0]
---------------------------------------------------------------------------------
-Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 1358.152 ; gain = 129.527 ; free physical = 7511 ; free virtual = 11943
+Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1358.688 ; gain = 129.527 ; free physical = 3865 ; free virtual = 10505
---------------------------------------------------------------------------------
Report Check Netlist:
@@ -178,7 +178,7 @@ Report Check Netlist:
|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets |
+------+------------------+-------+---------+-------+------------------+
---------------------------------------------------------------------------------
-Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 1358.152 ; gain = 129.527 ; free physical = 7509 ; free virtual = 11942
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 1358.688 ; gain = 129.527 ; free physical = 3866 ; free virtual = 10506
---------------------------------------------------------------------------------
INFO: [Device 21-403] Loading part xc7z010clg400-1
INFO: [Project 1-570] Preparing netlist for logic optimization
@@ -196,26 +196,26 @@ Completed Processing XDC Constraints
INFO: [Project 1-111] Unisim Transformation Summary:
No Unisim elements were transformed.
-Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1608.598 ; gain = 0.000 ; free physical = 6793 ; free virtual = 11295
+Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1609.125 ; gain = 0.000 ; free physical = 3546 ; free virtual = 10233
---------------------------------------------------------------------------------
-Finished Constraint Validation : Time (s): cpu = 00:00:21 ; elapsed = 00:00:38 . Memory (MB): peak = 1608.598 ; gain = 379.973 ; free physical = 6841 ; free virtual = 11352
+Finished Constraint Validation : Time (s): cpu = 00:00:21 ; elapsed = 00:00:32 . Memory (MB): peak = 1609.125 ; gain = 379.965 ; free physical = 3617 ; free virtual = 10306
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Loading Part and Timing Information
---------------------------------------------------------------------------------
Loading part: xc7z010clg400-1
---------------------------------------------------------------------------------
-Finished Loading Part and Timing Information : Time (s): cpu = 00:00:21 ; elapsed = 00:00:38 . Memory (MB): peak = 1608.598 ; gain = 379.973 ; free physical = 6841 ; free virtual = 11352
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:21 ; elapsed = 00:00:32 . Memory (MB): peak = 1609.125 ; gain = 379.965 ; free physical = 3617 ; free virtual = 10306
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Applying 'set_property' XDC Constraints
---------------------------------------------------------------------------------
Applied set_property DONT_TOUCH = true for inst. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/dont_touch.xdc, line 9).
---------------------------------------------------------------------------------
-Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:22 ; elapsed = 00:00:38 . Memory (MB): peak = 1608.598 ; gain = 379.973 ; free physical = 6839 ; free virtual = 11349
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:21 ; elapsed = 00:00:32 . Memory (MB): peak = 1609.125 ; gain = 379.965 ; free physical = 3618 ; free virtual = 10307
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:22 ; elapsed = 00:00:38 . Memory (MB): peak = 1608.598 ; gain = 379.973 ; free physical = 6825 ; free virtual = 11336
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:22 ; elapsed = 00:00:32 . Memory (MB): peak = 1609.125 ; gain = 379.965 ; free physical = 3610 ; free virtual = 10299
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -272,7 +272,7 @@ WARNING: [Synth 8-3331] design processing_system7_v5_5_processing_system7 has un
WARNING: [Synth 8-3331] design processing_system7_v5_5_processing_system7 has unconnected port ENET1_GMII_RXD[3]
INFO: [Common 17-14] Message 'Synth 8-3331' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
---------------------------------------------------------------------------------
-Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:39 . Memory (MB): peak = 1608.598 ; gain = 379.973 ; free physical = 6809 ; free virtual = 11319
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:33 . Memory (MB): peak = 1625.141 ; gain = 395.980 ; free physical = 3600 ; free virtual = 10290
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -284,13 +284,13 @@ Report RTL Partitions:
Start Applying XDC Timing Constraints
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:28 ; elapsed = 00:00:47 . Memory (MB): peak = 1813.598 ; gain = 584.973 ; free physical = 6419 ; free virtual = 10933
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:27 ; elapsed = 00:00:40 . Memory (MB): peak = 1814.141 ; gain = 584.980 ; free physical = 3339 ; free virtual = 10039
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Timing Optimization
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Timing Optimization : Time (s): cpu = 00:00:28 ; elapsed = 00:00:47 . Memory (MB): peak = 1833.605 ; gain = 604.980 ; free physical = 6421 ; free virtual = 10938
+Finished Timing Optimization : Time (s): cpu = 00:00:27 ; elapsed = 00:00:40 . Memory (MB): peak = 1834.148 ; gain = 604.988 ; free physical = 3326 ; free virtual = 10026
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -302,7 +302,7 @@ Report RTL Partitions:
Start Technology Mapping
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Technology Mapping : Time (s): cpu = 00:00:29 ; elapsed = 00:00:48 . Memory (MB): peak = 1841.613 ; gain = 612.988 ; free physical = 6887 ; free virtual = 11406
+Finished Technology Mapping : Time (s): cpu = 00:00:28 ; elapsed = 00:00:41 . Memory (MB): peak = 1842.156 ; gain = 612.996 ; free physical = 3325 ; free virtual = 10026
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -326,7 +326,7 @@ Start Final Netlist Cleanup
Finished Final Netlist Cleanup
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished IO Insertion : Time (s): cpu = 00:00:29 ; elapsed = 00:00:50 . Memory (MB): peak = 1841.613 ; gain = 612.988 ; free physical = 6916 ; free virtual = 11437
+Finished IO Insertion : Time (s): cpu = 00:00:29 ; elapsed = 00:00:42 . Memory (MB): peak = 1842.156 ; gain = 612.996 ; free physical = 3320 ; free virtual = 10021
---------------------------------------------------------------------------------
Report Check Netlist:
@@ -339,7 +339,7 @@ Report Check Netlist:
Start Renaming Generated Instances
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Renaming Generated Instances : Time (s): cpu = 00:00:29 ; elapsed = 00:00:50 . Memory (MB): peak = 1841.613 ; gain = 612.988 ; free physical = 6916 ; free virtual = 11437
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:29 ; elapsed = 00:00:42 . Memory (MB): peak = 1842.156 ; gain = 612.996 ; free physical = 3320 ; free virtual = 10021
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -351,25 +351,25 @@ Report RTL Partitions:
Start Rebuilding User Hierarchy
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:30 ; elapsed = 00:00:50 . Memory (MB): peak = 1841.613 ; gain = 612.988 ; free physical = 6916 ; free virtual = 11437
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:29 ; elapsed = 00:00:42 . Memory (MB): peak = 1842.156 ; gain = 612.996 ; free physical = 3320 ; free virtual = 10021
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Renaming Generated Ports
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Renaming Generated Ports : Time (s): cpu = 00:00:30 ; elapsed = 00:00:50 . Memory (MB): peak = 1841.613 ; gain = 612.988 ; free physical = 6916 ; free virtual = 11437
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:29 ; elapsed = 00:00:42 . Memory (MB): peak = 1842.156 ; gain = 612.996 ; free physical = 3320 ; free virtual = 10021
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Handling Custom Attributes
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Handling Custom Attributes : Time (s): cpu = 00:00:30 ; elapsed = 00:00:50 . Memory (MB): peak = 1841.613 ; gain = 612.988 ; free physical = 6916 ; free virtual = 11437
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:29 ; elapsed = 00:00:42 . Memory (MB): peak = 1842.156 ; gain = 612.996 ; free physical = 3320 ; free virtual = 10021
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Renaming Generated Nets
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Renaming Generated Nets : Time (s): cpu = 00:00:30 ; elapsed = 00:00:50 . Memory (MB): peak = 1841.613 ; gain = 612.988 ; free physical = 6916 ; free virtual = 11437
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:29 ; elapsed = 00:00:42 . Memory (MB): peak = 1842.156 ; gain = 612.996 ; free physical = 3320 ; free virtual = 10021
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Writing Synthesis Report
@@ -399,11 +399,11 @@ Report Instance Areas:
|2 | inst |processing_system7_v5_5_processing_system7 | 244|
+------+---------+-------------------------------------------+------+
---------------------------------------------------------------------------------
-Finished Writing Synthesis Report : Time (s): cpu = 00:00:30 ; elapsed = 00:00:50 . Memory (MB): peak = 1841.613 ; gain = 612.988 ; free physical = 6916 ; free virtual = 11437
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:29 ; elapsed = 00:00:42 . Memory (MB): peak = 1842.156 ; gain = 612.996 ; free physical = 3320 ; free virtual = 10021
---------------------------------------------------------------------------------
Synthesis finished with 0 errors, 0 critical warnings and 79 warnings.
-Synthesis Optimization Runtime : Time (s): cpu = 00:00:21 ; elapsed = 00:00:28 . Memory (MB): peak = 1841.613 ; gain = 362.543 ; free physical = 6974 ; free virtual = 11494
-Synthesis Optimization Complete : Time (s): cpu = 00:00:30 ; elapsed = 00:00:50 . Memory (MB): peak = 1841.621 ; gain = 612.988 ; free physical = 6981 ; free virtual = 11502
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:21 ; elapsed = 00:00:24 . Memory (MB): peak = 1842.156 ; gain = 362.559 ; free physical = 3377 ; free virtual = 10078
+Synthesis Optimization Complete : Time (s): cpu = 00:00:29 ; elapsed = 00:00:42 . Memory (MB): peak = 1842.164 ; gain = 612.996 ; free physical = 3377 ; free virtual = 10078
INFO: [Project 1-571] Translating synthesized netlist
INFO: [Project 1-570] Preparing netlist for logic optimization
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
@@ -413,10 +413,10 @@ No Unisim elements were transformed.
INFO: [Common 17-83] Releasing license: Synthesis
23 Infos, 101 Warnings, 0 Critical Warnings and 0 Errors encountered.
synth_design completed successfully
-synth_design: Time (s): cpu = 00:00:31 ; elapsed = 00:00:51 . Memory (MB): peak = 1843.613 ; gain = 639.816 ; free physical = 7101 ; free virtual = 11622
+synth_design: Time (s): cpu = 00:00:30 ; elapsed = 00:00:43 . Memory (MB): peak = 1845.156 ; gain = 640.824 ; free physical = 3512 ; free virtual = 10213
INFO: [Common 17-1381] The checkpoint '/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.dcp' has been generated.
INFO: [Coretcl 2-1482] Added synthesis output to IP cache for IP /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/ip/mz_petalinux_processing_system7_0_0/mz_petalinux_processing_system7_0_0.xci
INFO: [Common 17-1381] The checkpoint '/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0.dcp' has been generated.
INFO: [runtcl-4] Executing : report_utilization -file mz_petalinux_processing_system7_0_0_utilization_synth.rpt -pb mz_petalinux_processing_system7_0_0_utilization_synth.pb
-report_utilization: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.12 . Memory (MB): peak = 1843.613 ; gain = 0.000 ; free physical = 7092 ; free virtual = 11614
-INFO: [Common 17-206] Exiting Vivado at Fri Jul 12 14:21:10 2019...
+report_utilization: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.11 . Memory (MB): peak = 1845.156 ; gain = 0.000 ; free physical = 3510 ; free virtual = 10213
+INFO: [Common 17-206] Exiting Vivado at Sun Oct 20 22:43:58 2019...
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0_utilization_synth.rpt b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0_utilization_synth.rpt
index 24836e714f298054bccec4461c10b454367a6a2d..7ef0c53c359bebb8f8bdacb4fda5afdcf7dbd61e 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0_utilization_synth.rpt
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/mz_petalinux_processing_system7_0_0_utilization_synth.rpt
@@ -1,8 +1,8 @@
Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-----------------------------------------------------------------------------------------------------------------------------------------------------------------
| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
-| Date : Fri Jul 12 14:21:10 2019
-| Host : nats-MS-7A72 running 64-bit Ubuntu 18.04.2 LTS
+| Date : Sun Oct 20 22:43:57 2019
+| Host : nats-MS-7A72 running 64-bit Ubuntu 18.04.3 LTS
| Command : report_utilization -file mz_petalinux_processing_system7_0_0_utilization_synth.rpt -pb mz_petalinux_processing_system7_0_0_utilization_synth.pb
| Design : mz_petalinux_processing_system7_0_0
| Device : 7z010clg400-1
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/vivado.pb b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/vivado.pb
index 460ce849de31c3ae3898dd5c436f4d206234f0d6..4365fec481c27d2506cea66584dc2ddd51611909 100644
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/vivado.pb and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_processing_system7_0_0_synth_1/vivado.pb differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/.vivado.begin.rst b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/.vivado.begin.rst
index 3d4fc6346d2b810934a8c60944e8fcfde053450c..a22570dd99b2707010e3f2d03d3ed412b3c61038 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/.vivado.begin.rst
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/.vivado.begin.rst
@@ -1,5 +1,5 @@
-
+
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/gen_run.xml b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/gen_run.xml
index 0672641a7330b42c3ac5dc6a9eeac83489fd836f..517eef458970399a694a54a4b7f73012c6551aa0 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/gen_run.xml
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/gen_run.xml
@@ -1,17 +1,19 @@
-
-
-
-
-
+
+
+
+
+
+
+
@@ -22,9 +24,11 @@
+
+
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.dcp b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.dcp
index c213d3c303ecbb823b3b5959176435a8ab7653d0..6bcb731f02b318d4112e5c698eddefda8e2b5f28 100644
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.dcp and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.dcp differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.tcl b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.tcl
index 3660acd659f10982c1540150b05807f6da219dbe..70dbda9202acf705394f0b4abe18f709cfe08a7b 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.tcl
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.tcl
@@ -16,6 +16,8 @@ proc create_report { reportName command } {
send_msg_id runtcl-5 warning "$msg"
}
}
+set_msg_config -id {Synth 8-256} -limit 10000
+set_msg_config -id {Synth 8-638} -limit 10000
set_param project.vivado.isBlockSynthRun true
set_msg_config -msgmgr_mode ooc_run
create_project -in_memory -part xc7z010clg400-1
@@ -31,9 +33,9 @@ set_property default_lib xil_defaultlib [current_project]
set_property target_language Verilog [current_project]
set_property board_part em.avnet.com:microzed_7010:part0:1.1 [current_project]
set_property ip_repo_paths {
- /home/nats/project/VNAV2_Zynq/IP/VNA_Config/VNA_Config_1.0
/home/nats/project/VNAV2_Zynq/IP/VNA_Config/VNA_Config_1.0
/home/nats/project/VNAV2_Zynq/IP/VNA_PeripheralConfig/VNA_PeripheralConfig_1.0
+ /home/nats/project/VNAV2_Zynq/IP/LTC2271_ADCGetter
} [current_project]
set_property ip_output_repo /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.cache/ip [current_project]
set_property ip_cache_permissions {read write} [current_project]
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.vds b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.vds
index 1431674e52a4b72efd4fac8c2d74cabb38c72638..c8c3f2ebf786d378631ed1466cd5fe400c310f42 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.vds
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.vds
@@ -2,8 +2,8 @@
# Vivado v2017.4 (64-bit)
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
-# Start of session at: Fri Jul 12 14:21:06 2019
-# Process ID: 28452
+# Start of session at: Sun Oct 20 15:59:12 2019
+# Process ID: 31806
# Current directory: /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1
# Command line: vivado -log mz_petalinux_xlconstant_0_0.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source mz_petalinux_xlconstant_0_0.tcl
# Log file: /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.vds
@@ -15,9 +15,9 @@ Starting synth_design
Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010'
INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010'
INFO: Launching helper process for spawning children vivado processes
-INFO: Helper process launched with PID 28486
+INFO: Helper process launched with PID 31811
---------------------------------------------------------------------------------
-Starting RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1305.723 ; gain = 86.996 ; free physical = 7206 ; free virtual = 11732
+Starting RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1304.727 ; gain = 87.996 ; free physical = 2650 ; free virtual = 11846
---------------------------------------------------------------------------------
INFO: [Synth 8-638] synthesizing module 'mz_petalinux_xlconstant_0_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/ip/mz_petalinux_xlconstant_0_0/synth/mz_petalinux_xlconstant_0_0.v:57]
INFO: [Synth 8-638] synthesizing module 'xlconstant_v1_1_3_xlconstant' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/ipshared/0750/hdl/xlconstant_v1_1_vl_rfs.v:23]
@@ -26,7 +26,7 @@ INFO: [Synth 8-638] synthesizing module 'xlconstant_v1_1_3_xlconstant' [/home/na
INFO: [Synth 8-256] done synthesizing module 'xlconstant_v1_1_3_xlconstant' (1#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/ipshared/0750/hdl/xlconstant_v1_1_vl_rfs.v:23]
INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_xlconstant_0_0' (2#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/ip/mz_petalinux_xlconstant_0_0/synth/mz_petalinux_xlconstant_0_0.v:57]
---------------------------------------------------------------------------------
-Finished RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1347.254 ; gain = 128.527 ; free physical = 7199 ; free virtual = 11725
+Finished RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1346.258 ; gain = 129.527 ; free physical = 2665 ; free virtual = 11861
---------------------------------------------------------------------------------
Report Check Netlist:
@@ -36,7 +36,7 @@ Report Check Netlist:
|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets |
+------+------------------+-------+---------+-------+------------------+
---------------------------------------------------------------------------------
-Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1347.254 ; gain = 128.527 ; free physical = 7209 ; free virtual = 11735
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1346.258 ; gain = 129.527 ; free physical = 2665 ; free virtual = 11861
---------------------------------------------------------------------------------
INFO: [Device 21-403] Loading part xc7z010clg400-1
INFO: [Project 1-570] Preparing netlist for logic optimization
@@ -48,25 +48,25 @@ Completed Processing XDC Constraints
INFO: [Project 1-111] Unisim Transformation Summary:
No Unisim elements were transformed.
-Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1569.879 ; gain = 0.000 ; free physical = 8060 ; free virtual = 12589
+Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1568.875 ; gain = 0.000 ; free physical = 2424 ; free virtual = 11620
---------------------------------------------------------------------------------
-Finished Constraint Validation : Time (s): cpu = 00:00:20 ; elapsed = 00:00:32 . Memory (MB): peak = 1569.879 ; gain = 351.152 ; free physical = 8100 ; free virtual = 12629
+Finished Constraint Validation : Time (s): cpu = 00:00:20 ; elapsed = 00:00:30 . Memory (MB): peak = 1568.875 ; gain = 352.145 ; free physical = 2488 ; free virtual = 11685
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Loading Part and Timing Information
---------------------------------------------------------------------------------
Loading part: xc7z010clg400-1
---------------------------------------------------------------------------------
-Finished Loading Part and Timing Information : Time (s): cpu = 00:00:20 ; elapsed = 00:00:32 . Memory (MB): peak = 1569.879 ; gain = 351.152 ; free physical = 8100 ; free virtual = 12629
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:20 ; elapsed = 00:00:30 . Memory (MB): peak = 1568.875 ; gain = 352.145 ; free physical = 2488 ; free virtual = 11685
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Applying 'set_property' XDC Constraints
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:20 ; elapsed = 00:00:32 . Memory (MB): peak = 1569.879 ; gain = 351.152 ; free physical = 8102 ; free virtual = 12631
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:20 ; elapsed = 00:00:30 . Memory (MB): peak = 1568.875 ; gain = 352.145 ; free physical = 2490 ; free virtual = 11687
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:20 ; elapsed = 00:00:32 . Memory (MB): peak = 1569.879 ; gain = 351.152 ; free physical = 8102 ; free virtual = 12631
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:20 ; elapsed = 00:00:30 . Memory (MB): peak = 1568.875 ; gain = 352.145 ; free physical = 2490 ; free virtual = 11687
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -103,7 +103,7 @@ Start Cross Boundary and Area Optimization
WARNING: [Synth 8-3330] design mz_petalinux_xlconstant_0_0 has an empty top module
INFO: [Synth 8-3917] design mz_petalinux_xlconstant_0_0 has port dout[0] driven by constant 1
---------------------------------------------------------------------------------
-Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:20 ; elapsed = 00:00:33 . Memory (MB): peak = 1569.879 ; gain = 351.152 ; free physical = 8099 ; free virtual = 12629
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:20 ; elapsed = 00:00:30 . Memory (MB): peak = 1568.875 ; gain = 352.145 ; free physical = 2490 ; free virtual = 11686
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -115,13 +115,13 @@ Report RTL Partitions:
Start Applying XDC Timing Constraints
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:25 ; elapsed = 00:00:40 . Memory (MB): peak = 1620.879 ; gain = 402.152 ; free physical = 7965 ; free virtual = 12497
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:25 ; elapsed = 00:00:37 . Memory (MB): peak = 1618.875 ; gain = 402.145 ; free physical = 2348 ; free virtual = 11543
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Timing Optimization
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Timing Optimization : Time (s): cpu = 00:00:25 ; elapsed = 00:00:40 . Memory (MB): peak = 1620.879 ; gain = 402.152 ; free physical = 7965 ; free virtual = 12497
+Finished Timing Optimization : Time (s): cpu = 00:00:25 ; elapsed = 00:00:37 . Memory (MB): peak = 1618.875 ; gain = 402.145 ; free physical = 2348 ; free virtual = 11543
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -133,7 +133,7 @@ Report RTL Partitions:
Start Technology Mapping
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Technology Mapping : Time (s): cpu = 00:00:25 ; elapsed = 00:00:40 . Memory (MB): peak = 1630.895 ; gain = 412.168 ; free physical = 7964 ; free virtual = 12497
+Finished Technology Mapping : Time (s): cpu = 00:00:25 ; elapsed = 00:00:37 . Memory (MB): peak = 1628.898 ; gain = 412.168 ; free physical = 2347 ; free virtual = 11542
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -157,7 +157,7 @@ Start Final Netlist Cleanup
Finished Final Netlist Cleanup
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished IO Insertion : Time (s): cpu = 00:00:26 ; elapsed = 00:00:40 . Memory (MB): peak = 1630.895 ; gain = 412.168 ; free physical = 7965 ; free virtual = 12497
+Finished IO Insertion : Time (s): cpu = 00:00:26 ; elapsed = 00:00:38 . Memory (MB): peak = 1628.898 ; gain = 412.168 ; free physical = 2346 ; free virtual = 11542
---------------------------------------------------------------------------------
Report Check Netlist:
@@ -170,7 +170,7 @@ Report Check Netlist:
Start Renaming Generated Instances
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Renaming Generated Instances : Time (s): cpu = 00:00:26 ; elapsed = 00:00:40 . Memory (MB): peak = 1630.895 ; gain = 412.168 ; free physical = 7965 ; free virtual = 12497
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:26 ; elapsed = 00:00:38 . Memory (MB): peak = 1628.898 ; gain = 412.168 ; free physical = 2346 ; free virtual = 11542
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -182,25 +182,25 @@ Report RTL Partitions:
Start Rebuilding User Hierarchy
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:26 ; elapsed = 00:00:40 . Memory (MB): peak = 1630.895 ; gain = 412.168 ; free physical = 7965 ; free virtual = 12497
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:26 ; elapsed = 00:00:38 . Memory (MB): peak = 1628.898 ; gain = 412.168 ; free physical = 2346 ; free virtual = 11542
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Renaming Generated Ports
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Renaming Generated Ports : Time (s): cpu = 00:00:26 ; elapsed = 00:00:40 . Memory (MB): peak = 1630.895 ; gain = 412.168 ; free physical = 7965 ; free virtual = 12497
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:26 ; elapsed = 00:00:38 . Memory (MB): peak = 1628.898 ; gain = 412.168 ; free physical = 2346 ; free virtual = 11542
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Handling Custom Attributes
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Handling Custom Attributes : Time (s): cpu = 00:00:26 ; elapsed = 00:00:40 . Memory (MB): peak = 1630.895 ; gain = 412.168 ; free physical = 7965 ; free virtual = 12497
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:26 ; elapsed = 00:00:38 . Memory (MB): peak = 1628.898 ; gain = 412.168 ; free physical = 2346 ; free virtual = 11542
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Renaming Generated Nets
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Renaming Generated Nets : Time (s): cpu = 00:00:26 ; elapsed = 00:00:40 . Memory (MB): peak = 1630.895 ; gain = 412.168 ; free physical = 7965 ; free virtual = 12497
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:26 ; elapsed = 00:00:38 . Memory (MB): peak = 1628.898 ; gain = 412.168 ; free physical = 2346 ; free virtual = 11542
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Writing Synthesis Report
@@ -225,11 +225,11 @@ Report Instance Areas:
|1 |top | | 0|
+------+---------+-------+------+
---------------------------------------------------------------------------------
-Finished Writing Synthesis Report : Time (s): cpu = 00:00:26 ; elapsed = 00:00:40 . Memory (MB): peak = 1630.895 ; gain = 412.168 ; free physical = 7965 ; free virtual = 12497
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:26 ; elapsed = 00:00:38 . Memory (MB): peak = 1628.898 ; gain = 412.168 ; free physical = 2346 ; free virtual = 11542
---------------------------------------------------------------------------------
Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
-Synthesis Optimization Runtime : Time (s): cpu = 00:00:18 ; elapsed = 00:00:22 . Memory (MB): peak = 1630.895 ; gain = 189.543 ; free physical = 8023 ; free virtual = 12555
-Synthesis Optimization Complete : Time (s): cpu = 00:00:26 ; elapsed = 00:00:40 . Memory (MB): peak = 1630.902 ; gain = 412.168 ; free physical = 8023 ; free virtual = 12555
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:18 ; elapsed = 00:00:21 . Memory (MB): peak = 1628.898 ; gain = 189.551 ; free physical = 2403 ; free virtual = 11599
+Synthesis Optimization Complete : Time (s): cpu = 00:00:26 ; elapsed = 00:00:38 . Memory (MB): peak = 1628.906 ; gain = 412.168 ; free physical = 2403 ; free virtual = 11599
INFO: [Project 1-571] Translating synthesized netlist
INFO: [Project 1-570] Preparing netlist for logic optimization
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
@@ -239,10 +239,10 @@ No Unisim elements were transformed.
INFO: [Common 17-83] Releasing license: Synthesis
14 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered.
synth_design completed successfully
-synth_design: Time (s): cpu = 00:00:27 ; elapsed = 00:00:41 . Memory (MB): peak = 1639.895 ; gain = 445.996 ; free physical = 8005 ; free virtual = 12538
+synth_design: Time (s): cpu = 00:00:27 ; elapsed = 00:00:38 . Memory (MB): peak = 1638.898 ; gain = 446.996 ; free physical = 2386 ; free virtual = 11582
INFO: [Common 17-1381] The checkpoint '/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.dcp' has been generated.
INFO: [Coretcl 2-1482] Added synthesis output to IP cache for IP /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/ip/mz_petalinux_xlconstant_0_0/mz_petalinux_xlconstant_0_0.xci
INFO: [Common 17-1381] The checkpoint '/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0.dcp' has been generated.
INFO: [runtcl-4] Executing : report_utilization -file mz_petalinux_xlconstant_0_0_utilization_synth.rpt -pb mz_petalinux_xlconstant_0_0_utilization_synth.pb
-report_utilization: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.10 . Memory (MB): peak = 1639.895 ; gain = 0.000 ; free physical = 8005 ; free virtual = 12538
-INFO: [Common 17-206] Exiting Vivado at Fri Jul 12 14:21:57 2019...
+report_utilization: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1638.898 ; gain = 0.000 ; free physical = 2393 ; free virtual = 11589
+INFO: [Common 17-206] Exiting Vivado at Sun Oct 20 15:59:59 2019...
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0_utilization_synth.rpt b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0_utilization_synth.rpt
index 5fa6f49d35ec619e018ceb36469992a6e9ace9ab..2988698c43a786e64c3fda3a32a0a65254da96ce 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0_utilization_synth.rpt
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/mz_petalinux_xlconstant_0_0_utilization_synth.rpt
@@ -1,8 +1,8 @@
Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-------------------------------------------------------------------------------------------------------------------------------------------------
| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
-| Date : Fri Jul 12 14:21:57 2019
-| Host : nats-MS-7A72 running 64-bit Ubuntu 18.04.2 LTS
+| Date : Sun Oct 20 15:59:59 2019
+| Host : nats-MS-7A72 running 64-bit Ubuntu 18.04.3 LTS
| Command : report_utilization -file mz_petalinux_xlconstant_0_0_utilization_synth.rpt -pb mz_petalinux_xlconstant_0_0_utilization_synth.pb
| Design : mz_petalinux_xlconstant_0_0
| Device : 7z010clg400-1
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/vivado.pb b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/vivado.pb
index 630ca3d89a502f6d7725a9ffcb953656b6c7817b..1827fc8882508fb72f300d15b3026f440df1b62a 100644
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/vivado.pb and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/mz_petalinux_xlconstant_0_0_synth_1/vivado.pb differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/mz_petalinux_wrapper_propImpl.xdc b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/mz_petalinux_wrapper_propImpl.xdc
index afec5fcd42c549fd592c027a20d783bc98b4fdaf..dc231be21ee507545b319e8a42c9f457a377f697 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/mz_petalinux_wrapper_propImpl.xdc
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/mz_petalinux_wrapper_propImpl.xdc
@@ -1,87 +1,41 @@
set_property SRC_FILE_INFO {cfile:/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc rfile:../../../mz_petalinux.srcs/constrs_1/new/pins.xdc id:1} [current_design]
-set_property src_info {type:XDC file:1 line:1 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN R19 [get_ports ADC_SCK]
-set_property src_info {type:XDC file:1 line:2 export:INPUT save:INPUT read:READ} [current_design]
-set_property IOSTANDARD LVCMOS25 [get_ports ADC_SCK]
-set_property src_info {type:XDC file:1 line:3 export:INPUT save:INPUT read:READ} [current_design]
-set_property SLEW FAST [get_ports ADC_SCK]
-set_property src_info {type:XDC file:1 line:5 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN T19 [get_ports ADC_MOSI]
set_property src_info {type:XDC file:1 line:6 export:INPUT save:INPUT read:READ} [current_design]
-set_property IOSTANDARD LVCMOS25 [get_ports ADC_MOSI]
-set_property src_info {type:XDC file:1 line:7 export:INPUT save:INPUT read:READ} [current_design]
-set_property SLEW FAST [get_ports ADC_MOSI]
-set_property src_info {type:XDC file:1 line:10 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN N17 [get_ports ADC2_CS]
-set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design]
-set_property IOSTANDARD LVCMOS25 [get_ports ADC2_CS]
-set_property src_info {type:XDC file:1 line:12 export:INPUT save:INPUT read:READ} [current_design]
-set_property SLEW FAST [get_ports ADC2_CS]
-set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design]
-set_property SLEW FAST [get_ports ADC1_CS]
-set_property src_info {type:XDC file:1 line:14 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN T12 [get_ports ADC1_CS]
-set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design]
-set_property IOSTANDARD LVCMOS25 [get_ports ADC1_CS]
-set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design]
-set_property IOSTANDARD LVCMOS25 [get_ports ADC_MISO]
-set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN P18 [get_ports ADC_MISO]
-set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design]
-set_property OFFCHIP_TERM NONE [get_ports ADC_MOSI]
-set_property src_info {type:XDC file:1 line:20 export:INPUT save:INPUT read:READ} [current_design]
-set_property OFFCHIP_TERM NONE [get_ports ADC_SCK]
-set_property src_info {type:XDC file:1 line:21 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN P16 [get_ports SI_SCL]
-set_property src_info {type:XDC file:1 line:22 export:INPUT save:INPUT read:READ} [current_design]
-set_property IOSTANDARD LVCMOS25 [get_ports SI_SCL]
-set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN P15 [get_ports SI_SDA]
-set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design]
-set_property IOSTANDARD LVCMOS25 [get_ports SI_SDA]
-set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design]
set_property PACKAGE_PIN P15 [get_ports IIC_0_sda_io]
-set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design]
+set_property src_info {type:XDC file:1 line:8 export:INPUT save:INPUT read:READ} [current_design]
set_property PACKAGE_PIN P16 [get_ports IIC_0_scl_io]
-set_property src_info {type:XDC file:1 line:31 export:INPUT save:INPUT read:READ} [current_design]
+set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design]
set_property PACKAGE_PIN T12 [get_ports SPI0_CS_ADC1]
-set_property src_info {type:XDC file:1 line:33 export:INPUT save:INPUT read:READ} [current_design]
+set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design]
set_property PACKAGE_PIN N17 [get_ports SPI0_CS_ADC2]
-set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design]
+set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design]
set_property PACKAGE_PIN P18 [get_ports SPI0_MISO_0]
-set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design]
+set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design]
set_property PACKAGE_PIN T19 [get_ports SPI0_MOSI_0]
-set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design]
+set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design]
set_property PACKAGE_PIN R19 [get_ports SPI0_SCLK_0]
-set_property src_info {type:XDC file:1 line:42 export:INPUT save:INPUT read:READ} [current_design]
+set_property src_info {type:XDC file:1 line:22 export:INPUT save:INPUT read:READ} [current_design]
set_property PACKAGE_PIN N18 [get_ports AD_DCO_N_0]
-set_property src_info {type:XDC file:1 line:43 export:INPUT save:INPUT read:READ} [current_design]
+set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design]
set_property PACKAGE_PIN P19 [get_ports AD_DCO_P_0]
-set_property src_info {type:XDC file:1 line:44 export:INPUT save:INPUT read:READ} [current_design]
+set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design]
set_property PACKAGE_PIN U14 [get_ports AD_FR_N_0]
-set_property src_info {type:XDC file:1 line:45 export:INPUT save:INPUT read:READ} [current_design]
+set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design]
set_property PACKAGE_PIN U15 [get_ports AD_FR_P_0]
-set_property src_info {type:XDC file:1 line:46 export:INPUT save:INPUT read:READ} [current_design]
+set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design]
set_property PACKAGE_PIN V17 [get_ports AD_IN_1A_N_0]
-set_property src_info {type:XDC file:1 line:47 export:INPUT save:INPUT read:READ} [current_design]
+set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design]
set_property PACKAGE_PIN V18 [get_ports AD_IN_1A_P_0]
+set_property src_info {type:XDC file:1 line:51 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN V20 [get_ports AD_IN_2C_N_0]
+set_property src_info {type:XDC file:1 line:52 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN T20 [get_ports AD_IN_2D_N_0]
+set_property src_info {type:XDC file:1 line:53 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN Y18 [get_ports AD_IN_2B_N_0]
+set_property src_info {type:XDC file:1 line:54 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN V16 [get_ports AD_IN_2A_N_0]
set_property src_info {type:XDC file:1 line:55 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN U14 [get_ports AD_FR_P_0]
-set_property src_info {type:XDC file:1 line:70 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN N18 [get_ports AD_DCO_P_0]
-set_property src_info {type:XDC file:1 line:71 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN V17 [get_ports AD_IN_1A_P_0]
-set_property src_info {type:XDC file:1 line:72 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN R16 [get_ports AD_IN_1B_P_0]
-set_property src_info {type:XDC file:1 line:73 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN Y18 [get_ports AD_IN_1C_P_0]
-set_property src_info {type:XDC file:1 line:74 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN T20 [get_ports AD_IN_1D_P_0]
-set_property src_info {type:XDC file:1 line:75 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN T16 [get_ports AD_IN_2A_P_0]
-set_property src_info {type:XDC file:1 line:76 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN Y16 [get_ports AD_IN_2B_P_0]
-set_property src_info {type:XDC file:1 line:77 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN T14 [get_ports AD_IN_2C_P_0]
-set_property src_info {type:XDC file:1 line:78 export:INPUT save:INPUT read:READ} [current_design]
-set_property PACKAGE_PIN T11 [get_ports AD_IN_2D_P_0]
+set_property PACKAGE_PIN R16 [get_ports AD_IN_1D_N_0]
+set_property src_info {type:XDC file:1 line:56 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN T17 [get_ports AD_IN_1C_N_0]
+set_property src_info {type:XDC file:1 line:57 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN W18 [get_ports AD_IN_1B_N_0]
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.vivado.begin.rst b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.vivado.begin.rst
index dd3b351964f37a0d185769e0a216d48fb3d7acbd..25919d14849c72bbec14d67f407f6fead8d78584 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.vivado.begin.rst
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.vivado.begin.rst
@@ -1,5 +1,5 @@
-
+
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/gen_run.xml b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/gen_run.xml
index de313a888398272459355829231020f114ee4158..9edc9c8b265ba0875caf106b7295cfffc96277ee 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/gen_run.xml
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/gen_run.xml
@@ -1,11 +1,14 @@
-
-
-
-
+
+
+
+
+
+
+
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper.dcp b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper.dcp
index f2a855e5683176c556812f55842c3d20998d440e..f0a02badad4fccc62f5ccb783ee7ce8d13bb1dd7 100644
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper.dcp and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper.dcp differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper.vds b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper.vds
index d6b212ee9fffdecb027a4f6ef8e1c401f9b6cbcb..a880d458499d02b2005df277bf82bd20da5d53c0 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper.vds
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper.vds
@@ -2,8 +2,8 @@
# Vivado v2017.4 (64-bit)
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
-# Start of session at: Fri Oct 18 01:22:33 2019
-# Process ID: 18654
+# Start of session at: Sun Oct 20 22:43:59 2019
+# Process ID: 6186
# Current directory: /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1
# Command line: vivado -log mz_petalinux_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source mz_petalinux_wrapper.tcl
# Log file: /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper.vds
@@ -21,9 +21,9 @@ Starting synth_design
Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010'
INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010'
INFO: Launching helper process for spawning children vivado processes
-INFO: Helper process launched with PID 18678
+INFO: Helper process launched with PID 6196
---------------------------------------------------------------------------------
-Starting RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1349.039 ; gain = 83.992 ; free physical = 2424 ; free virtual = 10856
+Starting RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1351.430 ; gain = 83.992 ; free physical = 3705 ; free virtual = 10444
---------------------------------------------------------------------------------
INFO: [Synth 8-638] synthesizing module 'mz_petalinux_wrapper' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/hdl/mz_petalinux_wrapper.v:12]
INFO: [Synth 8-638] synthesizing module 'IOBUF' [/home/nats/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:22655]
@@ -33,17 +33,17 @@ INFO: [Synth 8-638] synthesizing module 'IOBUF' [/home/nats/Xilinx/Vivado/2017.4
Parameter SLEW bound to: SLOW - type: string
INFO: [Synth 8-256] done synthesizing module 'IOBUF' (1#1) [/home/nats/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:22655]
INFO: [Synth 8-638] synthesizing module 'mz_petalinux' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:430]
-INFO: [Synth 8-638] synthesizing module 'mz_petalinux_LTC2271_SampleGetter_0_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_LTC2271_SampleGetter_0_0_stub.v:6]
-INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_LTC2271_SampleGetter_0_0' (2#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_LTC2271_SampleGetter_0_0_stub.v:6]
+INFO: [Synth 8-638] synthesizing module 'mz_petalinux_LTC2271_SampleGetter_0_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_LTC2271_SampleGetter_0_0_stub.v:6]
+INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_LTC2271_SampleGetter_0_0' (2#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_LTC2271_SampleGetter_0_0_stub.v:6]
WARNING: [Synth 8-350] instance 'LTC2271_SampleGetter_0' of module 'mz_petalinux_LTC2271_SampleGetter_0_0' requires 27 connections, but only 26 given [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:763]
-INFO: [Synth 8-638] synthesizing module 'mz_petalinux_axi_dma_0_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_axi_dma_0_0_stub.v:6]
-INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_axi_dma_0_0' (3#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_axi_dma_0_0_stub.v:6]
+INFO: [Synth 8-638] synthesizing module 'mz_petalinux_axi_dma_0_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_axi_dma_0_0_stub.v:6]
+INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_axi_dma_0_0' (3#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_axi_dma_0_0_stub.v:6]
WARNING: [Synth 8-350] instance 'axi_dma_0' of module 'mz_petalinux_axi_dma_0_0' requires 73 connections, but only 71 given [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:790]
-INFO: [Synth 8-638] synthesizing module 'mz_petalinux_axi_smc_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_axi_smc_0_stub.v:6]
-INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_axi_smc_0' (4#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_axi_smc_0_stub.v:6]
+INFO: [Synth 8-638] synthesizing module 'mz_petalinux_axi_smc_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_axi_smc_0_stub.v:6]
+INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_axi_smc_0' (4#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_axi_smc_0_stub.v:6]
WARNING: [Synth 8-350] instance 'axi_smc' of module 'mz_petalinux_axi_smc_0' requires 101 connections, but only 96 given [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:862]
-INFO: [Synth 8-638] synthesizing module 'mz_petalinux_processing_system7_0_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_processing_system7_0_0_stub.v:6]
-INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_processing_system7_0_0' (5#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_processing_system7_0_0_stub.v:6]
+INFO: [Synth 8-638] synthesizing module 'mz_petalinux_processing_system7_0_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_processing_system7_0_0_stub.v:6]
+INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_processing_system7_0_0' (5#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_processing_system7_0_0_stub.v:6]
WARNING: [Synth 8-350] instance 'processing_system7_0' of module 'mz_petalinux_processing_system7_0_0' requires 134 connections, but only 117 given [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:959]
INFO: [Synth 8-638] synthesizing module 'mz_petalinux_ps7_0_axi_periph_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:1174]
INFO: [Synth 8-638] synthesizing module 'm00_couplers_imp_RIV9K6' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:12]
@@ -53,20 +53,20 @@ INFO: [Synth 8-256] done synthesizing module 'm01_couplers_imp_W7Q5EU' (7#1) [/h
INFO: [Synth 8-638] synthesizing module 'm02_couplers_imp_LGYMCM' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:283]
INFO: [Synth 8-256] done synthesizing module 'm02_couplers_imp_LGYMCM' (8#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:283]
INFO: [Synth 8-638] synthesizing module 's00_couplers_imp_Z2PNN9' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:1889]
-INFO: [Synth 8-638] synthesizing module 'mz_petalinux_auto_pc_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_auto_pc_0_stub.v:6]
-INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_auto_pc_0' (9#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_auto_pc_0_stub.v:6]
+INFO: [Synth 8-638] synthesizing module 'mz_petalinux_auto_pc_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_auto_pc_0_stub.v:6]
+INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_auto_pc_0' (9#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_auto_pc_0_stub.v:6]
INFO: [Synth 8-256] done synthesizing module 's00_couplers_imp_Z2PNN9' (10#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:1889]
-INFO: [Synth 8-638] synthesizing module 'mz_petalinux_xbar_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_xbar_0_stub.v:6]
-INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_xbar_0' (11#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_xbar_0_stub.v:6]
+INFO: [Synth 8-638] synthesizing module 'mz_petalinux_xbar_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_xbar_0_stub.v:6]
+INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_xbar_0' (11#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_xbar_0_stub.v:6]
INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_ps7_0_axi_periph_0' (12#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:1174]
WARNING: [Synth 8-350] instance 'ps7_0_axi_periph' of module 'mz_petalinux_ps7_0_axi_periph_0' requires 102 connections, but only 80 given [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:1077]
-INFO: [Synth 8-638] synthesizing module 'mz_petalinux_rst_ps7_0_100M_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_rst_ps7_0_100M_0_stub.v:6]
-INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_rst_ps7_0_100M_0' (13#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_rst_ps7_0_100M_0_stub.v:6]
+INFO: [Synth 8-638] synthesizing module 'mz_petalinux_rst_ps7_0_100M_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_rst_ps7_0_100M_0_stub.v:6]
+INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_rst_ps7_0_100M_0' (13#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_rst_ps7_0_100M_0_stub.v:6]
WARNING: [Synth 8-350] instance 'rst_ps7_0_100M' of module 'mz_petalinux_rst_ps7_0_100M_0' requires 10 connections, but only 7 given [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:1158]
-INFO: [Synth 8-638] synthesizing module 'mz_petalinux_xlconcat_0_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_xlconcat_0_0_stub.v:6]
-INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_xlconcat_0_0' (14#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_xlconcat_0_0_stub.v:6]
-INFO: [Synth 8-638] synthesizing module 'mz_petalinux_xlconstant_0_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_xlconstant_0_0_stub.v:6]
-INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_xlconstant_0_0' (15#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/realtime/mz_petalinux_xlconstant_0_0_stub.v:6]
+INFO: [Synth 8-638] synthesizing module 'mz_petalinux_xlconcat_0_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_xlconcat_0_0_stub.v:6]
+INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_xlconcat_0_0' (14#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_xlconcat_0_0_stub.v:6]
+INFO: [Synth 8-638] synthesizing module 'mz_petalinux_xlconstant_0_0' [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_xlconstant_0_0_stub.v:6]
+INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_xlconstant_0_0' (15#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/realtime/mz_petalinux_xlconstant_0_0_stub.v:6]
INFO: [Synth 8-256] done synthesizing module 'mz_petalinux' (16#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/synth/mz_petalinux.v:430]
INFO: [Synth 8-256] done synthesizing module 'mz_petalinux_wrapper' (17#1) [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/sources_1/bd/mz_petalinux/hdl/mz_petalinux_wrapper.v:12]
WARNING: [Synth 8-3331] design s00_couplers_imp_Z2PNN9 has unconnected port M_ACLK
@@ -84,7 +84,7 @@ WARNING: [Synth 8-3331] design m00_couplers_imp_RIV9K6 has unconnected port M_AR
WARNING: [Synth 8-3331] design m00_couplers_imp_RIV9K6 has unconnected port S_ACLK
WARNING: [Synth 8-3331] design m00_couplers_imp_RIV9K6 has unconnected port S_ARESETN
---------------------------------------------------------------------------------
-Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1391.570 ; gain = 126.523 ; free physical = 2415 ; free virtual = 10847
+Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1393.961 ; gain = 126.523 ; free physical = 3717 ; free virtual = 10456
---------------------------------------------------------------------------------
Report Check Netlist:
@@ -94,7 +94,7 @@ Report Check Netlist:
|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets |
+------+------------------+-------+---------+-------+------------------+
---------------------------------------------------------------------------------
-Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 1391.570 ; gain = 126.523 ; free physical = 2411 ; free virtual = 10843
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1393.961 ; gain = 126.523 ; free physical = 3717 ; free virtual = 10456
---------------------------------------------------------------------------------
INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
@@ -103,47 +103,26 @@ INFO: [Project 1-570] Preparing netlist for logic optimization
Processing XDC Constraints
Initializing timing engine
-Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc] for cell 'mz_petalinux_i/processing_system7_0'
-Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc] for cell 'mz_petalinux_i/processing_system7_0'
-Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp10/mz_petalinux_axi_dma_0_0_in_context.xdc] for cell 'mz_petalinux_i/axi_dma_0'
-Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp10/mz_petalinux_axi_dma_0_0_in_context.xdc] for cell 'mz_petalinux_i/axi_dma_0'
-Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp11/mz_petalinux_rst_ps7_0_100M_0_in_context.xdc] for cell 'mz_petalinux_i/rst_ps7_0_100M'
-Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp11/mz_petalinux_rst_ps7_0_100M_0_in_context.xdc] for cell 'mz_petalinux_i/rst_ps7_0_100M'
-Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp12/mz_petalinux_axi_smc_0_in_context.xdc] for cell 'mz_petalinux_i/axi_smc'
-Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp12/mz_petalinux_axi_smc_0_in_context.xdc] for cell 'mz_petalinux_i/axi_smc'
-Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp13/mz_petalinux_xlconcat_0_0_in_context.xdc] for cell 'mz_petalinux_i/xlconcat_0'
-Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp13/mz_petalinux_xlconcat_0_0_in_context.xdc] for cell 'mz_petalinux_i/xlconcat_0'
-Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp14/mz_petalinux_xbar_0_in_context.xdc] for cell 'mz_petalinux_i/ps7_0_axi_periph/xbar'
-Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp14/mz_petalinux_xbar_0_in_context.xdc] for cell 'mz_petalinux_i/ps7_0_axi_periph/xbar'
-Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp15/mz_petalinux_xlconstant_0_0_in_context.xdc] for cell 'mz_petalinux_i/xlconstant_0'
-Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp15/mz_petalinux_xlconstant_0_0_in_context.xdc] for cell 'mz_petalinux_i/xlconstant_0'
-Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc] for cell 'mz_petalinux_i/LTC2271_SampleGetter_0'
-Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc] for cell 'mz_petalinux_i/LTC2271_SampleGetter_0'
-Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp17/mz_petalinux_auto_pc_0_in_context.xdc] for cell 'mz_petalinux_i/ps7_0_axi_periph/s00_couplers/auto_pc'
-Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp17/mz_petalinux_auto_pc_0_in_context.xdc] for cell 'mz_petalinux_i/ps7_0_axi_periph/s00_couplers/auto_pc'
+Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc] for cell 'mz_petalinux_i/processing_system7_0'
+Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc] for cell 'mz_petalinux_i/processing_system7_0'
+Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp10/mz_petalinux_axi_dma_0_0_in_context.xdc] for cell 'mz_petalinux_i/axi_dma_0'
+Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp10/mz_petalinux_axi_dma_0_0_in_context.xdc] for cell 'mz_petalinux_i/axi_dma_0'
+Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp11/mz_petalinux_rst_ps7_0_100M_0_in_context.xdc] for cell 'mz_petalinux_i/rst_ps7_0_100M'
+Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp11/mz_petalinux_rst_ps7_0_100M_0_in_context.xdc] for cell 'mz_petalinux_i/rst_ps7_0_100M'
+Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp12/mz_petalinux_axi_smc_0_in_context.xdc] for cell 'mz_petalinux_i/axi_smc'
+Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp12/mz_petalinux_axi_smc_0_in_context.xdc] for cell 'mz_petalinux_i/axi_smc'
+Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp13/mz_petalinux_xlconcat_0_0_in_context.xdc] for cell 'mz_petalinux_i/xlconcat_0'
+Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp13/mz_petalinux_xlconcat_0_0_in_context.xdc] for cell 'mz_petalinux_i/xlconcat_0'
+Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp14/mz_petalinux_xbar_0_in_context.xdc] for cell 'mz_petalinux_i/ps7_0_axi_periph/xbar'
+Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp14/mz_petalinux_xbar_0_in_context.xdc] for cell 'mz_petalinux_i/ps7_0_axi_periph/xbar'
+Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp15/mz_petalinux_xlconstant_0_0_in_context.xdc] for cell 'mz_petalinux_i/xlconstant_0'
+Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp15/mz_petalinux_xlconstant_0_0_in_context.xdc] for cell 'mz_petalinux_i/xlconstant_0'
+Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc] for cell 'mz_petalinux_i/LTC2271_SampleGetter_0'
+Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc] for cell 'mz_petalinux_i/LTC2271_SampleGetter_0'
+Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp17/mz_petalinux_auto_pc_0_in_context.xdc] for cell 'mz_petalinux_i/ps7_0_axi_periph/s00_couplers/auto_pc'
+Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp17/mz_petalinux_auto_pc_0_in_context.xdc] for cell 'mz_petalinux_i/ps7_0_axi_periph/s00_couplers/auto_pc'
Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc]
-WARNING: [Vivado 12-584] No ports matched 'ADC_SCK'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:1]
-WARNING: [Vivado 12-584] No ports matched 'ADC_SCK'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:2]
-WARNING: [Vivado 12-584] No ports matched 'ADC_SCK'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:3]
-WARNING: [Vivado 12-584] No ports matched 'ADC_MOSI'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:5]
-WARNING: [Vivado 12-584] No ports matched 'ADC_MOSI'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:6]
-WARNING: [Vivado 12-584] No ports matched 'ADC_MOSI'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:7]
-WARNING: [Vivado 12-584] No ports matched 'ADC2_CS'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:10]
-WARNING: [Vivado 12-584] No ports matched 'ADC2_CS'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:11]
-WARNING: [Vivado 12-584] No ports matched 'ADC2_CS'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:12]
-WARNING: [Vivado 12-584] No ports matched 'ADC1_CS'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:13]
-WARNING: [Vivado 12-584] No ports matched 'ADC1_CS'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:14]
-WARNING: [Vivado 12-584] No ports matched 'ADC1_CS'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:15]
-WARNING: [Vivado 12-584] No ports matched 'ADC_MISO'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:16]
-WARNING: [Vivado 12-584] No ports matched 'ADC_MISO'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:17]
-WARNING: [Vivado 12-584] No ports matched 'ADC_MOSI'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:19]
-WARNING: [Vivado 12-584] No ports matched 'ADC_SCK'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:20]
-WARNING: [Vivado 12-584] No ports matched 'SI_SCL'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:21]
-WARNING: [Vivado 12-584] No ports matched 'SI_SCL'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:22]
-WARNING: [Vivado 12-584] No ports matched 'SI_SDA'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:23]
-WARNING: [Vivado 12-584] No ports matched 'SI_SDA'. [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc:24]
Finished Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc]
-WARNING: [Project 1-498] One or more constraints failed evaluation while reading constraint file [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc] and the design contains unresolved black boxes. These constraints will be read post-synthesis (as long as their source constraint file is marked as used_in_implementation) and should be applied correctly then. You should review the constraints listed in the file [.Xil/mz_petalinux_wrapper_propImpl.xdc] and check the run log file to verify that these constraints were correctly applied.
INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.srcs/constrs_1/new/pins.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/mz_petalinux_wrapper_propImpl.xdc].
Resolution: To avoid this warning, move constraints listed in [.Xil/mz_petalinux_wrapper_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
Parsing XDC File [/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/dont_touch.xdc]
@@ -154,320 +133,320 @@ INFO: [Project 1-111] Unisim Transformation Summary:
A total of 2 instances were transformed.
IOBUF => IOBUF (IBUF, OBUFT): 2 instances
-Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1666.000 ; gain = 0.000 ; free physical = 2257 ; free virtual = 10689
+Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1668.398 ; gain = 0.000 ; free physical = 3434 ; free virtual = 10178
---------------------------------------------------------------------------------
-Finished Constraint Validation : Time (s): cpu = 00:00:21 ; elapsed = 00:00:33 . Memory (MB): peak = 1666.000 ; gain = 400.953 ; free physical = 2537 ; free virtual = 10969
+Finished Constraint Validation : Time (s): cpu = 00:00:21 ; elapsed = 00:00:31 . Memory (MB): peak = 1668.398 ; gain = 400.961 ; free physical = 3499 ; free virtual = 10245
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Loading Part and Timing Information
---------------------------------------------------------------------------------
Loading part: xc7z010clg400-1
---------------------------------------------------------------------------------
-Finished Loading Part and Timing Information : Time (s): cpu = 00:00:21 ; elapsed = 00:00:33 . Memory (MB): peak = 1666.000 ; gain = 400.953 ; free physical = 2537 ; free virtual = 10969
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:21 ; elapsed = 00:00:31 . Memory (MB): peak = 1668.398 ; gain = 400.961 ; free physical = 3499 ; free virtual = 10245
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Applying 'set_property' XDC Constraints
---------------------------------------------------------------------------------
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 2).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 3).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[10]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 4).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[10]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 5).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[11]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 6).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[11]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 7).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[12]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 8).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[12]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 9).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[13]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 10).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[13]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 11).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[14]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 12).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[14]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 13).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 14).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 15).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 16).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 17).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 18).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 19).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[4]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 20).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[4]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 21).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[5]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 22).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[5]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 23).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[6]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 24).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[6]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 25).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[7]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 26).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[7]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 27).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[8]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 28).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[8]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 29).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[9]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 30).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[9]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 31).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_ba[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 32).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ba[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 33).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_ba[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 34).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ba[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 35).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_ba[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 36).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ba[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 37).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_cas_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 38).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_cas_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 39).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_cke. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 40).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_cke. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 41).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_cs_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 42).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_cs_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 43).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_ck_p. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 44).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ck_p. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 45).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_ck_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 46).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ck_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 47).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dm[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 48).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dm[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 49).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dm[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 50).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dm[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 51).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dm[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 52).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dm[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 53).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dm[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 54).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dm[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 55).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_p[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 56).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_p[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 57).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_p[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 58).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_p[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 59).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_p[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 60).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_p[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 61).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_p[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 62).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_p[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 63).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_n[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 64).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_n[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 65).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_n[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 66).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_n[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 67).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_n[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 68).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_n[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 69).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_n[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 70).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_n[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 71).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 72).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 73).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[10]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 74).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[10]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 75).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[11]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 76).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[11]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 77).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[12]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 78).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[12]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 79).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[13]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 80).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[13]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 81).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[14]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 82).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[14]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 83).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[15]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 84).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[15]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 85).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[16]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 86).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[16]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 87).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[17]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 88).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[17]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 89).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[18]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 90).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[18]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 91).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[19]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 92).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[19]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 93).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 94).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 95).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[20]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 96).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[20]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 97).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[21]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 98).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[21]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 99).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[22]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 100).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[22]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 101).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[23]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 102).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[23]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 103).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[24]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 104).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[24]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 105).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[25]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 106).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[25]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 107).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[26]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 108).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[26]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 109).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[27]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 110).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[27]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 111).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[28]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 112).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[28]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 113).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[29]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 114).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[29]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 115).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 116).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 117).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[30]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 118).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[30]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 119).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[31]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 120).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[31]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 121).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 122).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 123).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[4]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 124).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[4]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 125).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[5]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 126).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[5]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 127).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[6]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 128).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[6]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 129).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[7]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 130).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[7]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 131).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[8]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 132).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[8]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 133).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[9]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 134).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[9]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 135).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_reset_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 136).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_reset_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 137).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_odt. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 138).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_odt. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 139).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_ras_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 140).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ras_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 141).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ddr_vrn. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 142).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ddr_vrn. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 143).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ddr_vrp. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 144).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ddr_vrp. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 145).
-Applied set_property IO_BUFFER_TYPE = NONE for DDR_we_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 146).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_we_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 147).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 148).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 149).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[10]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 150).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[10]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 151).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[11]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 152).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[11]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 153).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[12]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 154).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[12]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 155).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[13]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 156).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[13]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 157).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[14]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 158).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[14]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 159).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[15]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 160).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[15]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 161).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[16]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 162).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[16]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 163).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[17]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 164).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[17]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 165).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[18]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 166).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[18]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 167).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[19]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 168).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[19]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 169).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 170).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 171).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[20]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 172).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[20]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 173).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[21]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 174).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[21]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 175).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[22]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 176).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[22]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 177).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[23]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 178).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[23]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 179).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[24]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 180).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[24]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 181).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[25]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 182).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[25]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 183).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[26]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 184).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[26]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 185).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[27]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 186).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[27]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 187).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[28]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 188).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[28]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 189).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[29]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 190).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[29]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 191).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 192).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 193).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[30]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 194).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[30]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 195).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[31]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 196).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[31]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 197).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[32]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 198).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[32]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 199).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[33]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 200).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[33]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 201).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[34]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 202).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[34]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 203).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[35]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 204).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[35]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 205).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[36]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 206).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[36]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 207).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[37]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 208).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[37]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 209).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[38]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 210).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[38]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 211).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[39]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 212).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[39]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 213).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 214).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 215).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[40]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 216).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[40]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 217).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[41]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 218).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[41]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 219).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[42]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 220).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[42]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 221).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[43]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 222).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[43]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 223).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[44]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 224).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[44]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 225).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[45]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 226).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[45]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 227).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[46]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 228).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[46]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 229).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[47]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 230).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[47]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 231).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[48]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 232).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[48]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 233).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[49]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 234).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[49]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 235).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[4]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 236).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[4]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 237).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[50]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 238).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[50]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 239).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[51]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 240).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[51]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 241).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[52]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 242).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[52]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 243).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[53]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 244).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[53]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 245).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[5]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 246).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[5]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 247).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[6]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 248).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[6]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 249).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[7]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 250).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[7]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 251).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[8]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 252).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[8]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 253).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[9]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 254).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[9]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 255).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ps_clk. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 256).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ps_clk. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 257).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ps_porb. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 258).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ps_porb. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 259).
-Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ps_srstb. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 260).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ps_srstb. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 261).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_DCO_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 1).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_DCO_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 2).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_DCO_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 3).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_DCO_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 4).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_FR_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 5).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_FR_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 6).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_FR_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 7).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_FR_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 8).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1A_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 9).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1A_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 10).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1A_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 11).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1A_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 12).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1B_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 13).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1B_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 14).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1B_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 15).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1B_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 16).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1C_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 17).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1C_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 18).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1C_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 19).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1C_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 20).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1D_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 21).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1D_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 22).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1D_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 23).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1D_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 24).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2A_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 25).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2A_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 26).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2A_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 27).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2A_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 28).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2B_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 29).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2B_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 30).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2B_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 31).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2B_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 32).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2C_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 33).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2C_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 34).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2C_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 35).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2C_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 36).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2D_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 37).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2D_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 38).
-Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2D_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 39).
-Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2D_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-18654-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 40).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 2).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 3).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[10]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 4).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[10]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 5).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[11]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 6).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[11]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 7).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[12]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 8).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[12]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 9).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[13]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 10).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[13]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 11).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[14]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 12).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[14]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 13).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 14).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 15).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 16).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 17).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 18).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 19).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[4]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 20).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[4]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 21).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[5]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 22).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[5]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 23).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[6]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 24).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[6]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 25).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[7]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 26).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[7]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 27).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[8]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 28).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[8]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 29).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_addr[9]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 30).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_addr[9]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 31).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_ba[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 32).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ba[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 33).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_ba[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 34).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ba[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 35).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_ba[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 36).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ba[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 37).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_cas_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 38).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_cas_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 39).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_cke. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 40).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_cke. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 41).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_cs_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 42).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_cs_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 43).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_ck_p. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 44).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ck_p. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 45).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_ck_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 46).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ck_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 47).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dm[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 48).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dm[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 49).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dm[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 50).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dm[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 51).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dm[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 52).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dm[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 53).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dm[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 54).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dm[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 55).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_p[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 56).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_p[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 57).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_p[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 58).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_p[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 59).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_p[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 60).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_p[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 61).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_p[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 62).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_p[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 63).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_n[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 64).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_n[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 65).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_n[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 66).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_n[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 67).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_n[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 68).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_n[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 69).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dqs_n[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 70).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dqs_n[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 71).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 72).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 73).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[10]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 74).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[10]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 75).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[11]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 76).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[11]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 77).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[12]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 78).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[12]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 79).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[13]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 80).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[13]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 81).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[14]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 82).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[14]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 83).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[15]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 84).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[15]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 85).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[16]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 86).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[16]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 87).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[17]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 88).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[17]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 89).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[18]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 90).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[18]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 91).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[19]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 92).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[19]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 93).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 94).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 95).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[20]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 96).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[20]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 97).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[21]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 98).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[21]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 99).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[22]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 100).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[22]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 101).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[23]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 102).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[23]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 103).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[24]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 104).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[24]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 105).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[25]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 106).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[25]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 107).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[26]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 108).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[26]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 109).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[27]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 110).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[27]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 111).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[28]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 112).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[28]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 113).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[29]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 114).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[29]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 115).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 116).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 117).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[30]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 118).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[30]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 119).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[31]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 120).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[31]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 121).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 122).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 123).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[4]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 124).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[4]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 125).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[5]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 126).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[5]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 127).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[6]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 128).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[6]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 129).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[7]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 130).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[7]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 131).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[8]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 132).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[8]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 133).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_dq[9]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 134).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_dq[9]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 135).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_reset_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 136).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_reset_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 137).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_odt. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 138).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_odt. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 139).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_ras_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 140).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_ras_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 141).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ddr_vrn. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 142).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ddr_vrn. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 143).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ddr_vrp. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 144).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ddr_vrp. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 145).
+Applied set_property IO_BUFFER_TYPE = NONE for DDR_we_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 146).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for DDR_we_n. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 147).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 148).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[0]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 149).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[10]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 150).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[10]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 151).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[11]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 152).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[11]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 153).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[12]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 154).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[12]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 155).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[13]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 156).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[13]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 157).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[14]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 158).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[14]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 159).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[15]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 160).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[15]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 161).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[16]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 162).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[16]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 163).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[17]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 164).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[17]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 165).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[18]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 166).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[18]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 167).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[19]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 168).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[19]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 169).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 170).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[1]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 171).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[20]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 172).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[20]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 173).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[21]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 174).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[21]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 175).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[22]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 176).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[22]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 177).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[23]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 178).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[23]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 179).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[24]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 180).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[24]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 181).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[25]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 182).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[25]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 183).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[26]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 184).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[26]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 185).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[27]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 186).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[27]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 187).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[28]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 188).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[28]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 189).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[29]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 190).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[29]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 191).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 192).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[2]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 193).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[30]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 194).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[30]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 195).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[31]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 196).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[31]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 197).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[32]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 198).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[32]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 199).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[33]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 200).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[33]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 201).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[34]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 202).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[34]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 203).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[35]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 204).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[35]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 205).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[36]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 206).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[36]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 207).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[37]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 208).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[37]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 209).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[38]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 210).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[38]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 211).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[39]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 212).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[39]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 213).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 214).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[3]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 215).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[40]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 216).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[40]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 217).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[41]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 218).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[41]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 219).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[42]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 220).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[42]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 221).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[43]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 222).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[43]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 223).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[44]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 224).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[44]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 225).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[45]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 226).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[45]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 227).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[46]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 228).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[46]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 229).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[47]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 230).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[47]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 231).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[48]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 232).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[48]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 233).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[49]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 234).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[49]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 235).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[4]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 236).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[4]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 237).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[50]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 238).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[50]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 239).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[51]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 240).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[51]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 241).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[52]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 242).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[52]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 243).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[53]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 244).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[53]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 245).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[5]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 246).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[5]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 247).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[6]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 248).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[6]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 249).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[7]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 250).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[7]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 251).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[8]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 252).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[8]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 253).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_mio[9]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 254).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_mio[9]. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 255).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ps_clk. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 256).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ps_clk. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 257).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ps_porb. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 258).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ps_porb. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 259).
+Applied set_property IO_BUFFER_TYPE = NONE for FIXED_IO_ps_srstb. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 260).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for FIXED_IO_ps_srstb. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp9/mz_petalinux_processing_system7_0_0_in_context.xdc, line 261).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_DCO_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 1).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_DCO_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 2).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_DCO_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 3).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_DCO_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 4).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_FR_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 5).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_FR_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 6).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_FR_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 7).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_FR_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 8).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1A_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 9).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1A_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 10).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1A_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 11).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1A_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 12).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1B_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 13).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1B_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 14).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1B_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 15).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1B_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 16).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1C_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 17).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1C_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 18).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1C_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 19).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1C_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 20).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1D_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 21).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1D_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 22).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_1D_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 23).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_1D_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 24).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2A_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 25).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2A_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 26).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2A_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 27).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2A_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 28).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2B_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 29).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2B_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 30).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2B_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 31).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2B_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 32).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2C_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 33).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2C_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 34).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2C_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 35).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2C_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 36).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2D_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 37).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2D_N_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 38).
+Applied set_property IO_BUFFER_TYPE = NONE for AD_IN_2D_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 39).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for AD_IN_2D_P_0. (constraint file /home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/.Xil/Vivado-6186-nats-MS-7A72/dcp16/mz_petalinux_LTC2271_SampleGetter_0_0_in_context.xdc, line 40).
Applied set_property DONT_TOUCH = true for mz_petalinux_i. (constraint file auto generated constraint, line ).
Applied set_property DONT_TOUCH = true for mz_petalinux_i/LTC2271_SampleGetter_0. (constraint file auto generated constraint, line ).
Applied set_property DONT_TOUCH = true for mz_petalinux_i/axi_dma_0. (constraint file auto generated constraint, line ).
@@ -480,10 +459,10 @@ Applied set_property DONT_TOUCH = true for mz_petalinux_i/rst_ps7_0_100M. (const
Applied set_property DONT_TOUCH = true for mz_petalinux_i/xlconcat_0. (constraint file auto generated constraint, line ).
Applied set_property DONT_TOUCH = true for mz_petalinux_i/xlconstant_0. (constraint file auto generated constraint, line ).
---------------------------------------------------------------------------------
-Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:21 ; elapsed = 00:00:33 . Memory (MB): peak = 1666.000 ; gain = 400.953 ; free physical = 2542 ; free virtual = 10975
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:21 ; elapsed = 00:00:32 . Memory (MB): peak = 1668.398 ; gain = 400.961 ; free physical = 3501 ; free virtual = 10247
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:22 ; elapsed = 00:00:33 . Memory (MB): peak = 1666.000 ; gain = 400.953 ; free physical = 2540 ; free virtual = 10972
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:21 ; elapsed = 00:00:32 . Memory (MB): peak = 1668.398 ; gain = 400.961 ; free physical = 3501 ; free virtual = 10247
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -524,7 +503,7 @@ WARNING: [Synth 8-3331] design mz_petalinux_ps7_0_axi_periph_0 has unconnected p
WARNING: [Synth 8-3331] design mz_petalinux_ps7_0_axi_periph_0 has unconnected port M02_ACLK
WARNING: [Synth 8-3331] design mz_petalinux_ps7_0_axi_periph_0 has unconnected port M02_ARESETN
---------------------------------------------------------------------------------
-Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:33 . Memory (MB): peak = 1666.000 ; gain = 400.953 ; free physical = 2530 ; free virtual = 10962
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:21 ; elapsed = 00:00:32 . Memory (MB): peak = 1668.398 ; gain = 400.961 ; free physical = 3492 ; free virtual = 10238
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -538,13 +517,13 @@ Start Applying XDC Timing Constraints
INFO: [Synth 8-5578] Moved timing constraint from pin 'mz_petalinux_i/processing_system7_0/FCLK_CLK0' to pin 'mz_petalinux_i/processing_system7_0/bbstub_FCLK_CLK0/O'
INFO: [Synth 8-5819] Moved 1 constraints on hierarchical pins to their respective driving/loading pins
---------------------------------------------------------------------------------
-Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:27 ; elapsed = 00:00:41 . Memory (MB): peak = 1677.000 ; gain = 411.953 ; free physical = 2395 ; free virtual = 10826
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:26 ; elapsed = 00:00:39 . Memory (MB): peak = 1679.398 ; gain = 411.961 ; free physical = 3293 ; free virtual = 10088
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Timing Optimization
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Timing Optimization : Time (s): cpu = 00:00:27 ; elapsed = 00:00:41 . Memory (MB): peak = 1677.000 ; gain = 411.953 ; free physical = 2395 ; free virtual = 10827
+Finished Timing Optimization : Time (s): cpu = 00:00:26 ; elapsed = 00:00:39 . Memory (MB): peak = 1679.398 ; gain = 411.961 ; free physical = 3293 ; free virtual = 10088
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -556,7 +535,7 @@ Report RTL Partitions:
Start Technology Mapping
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Technology Mapping : Time (s): cpu = 00:00:27 ; elapsed = 00:00:41 . Memory (MB): peak = 1687.016 ; gain = 421.969 ; free physical = 2394 ; free virtual = 10825
+Finished Technology Mapping : Time (s): cpu = 00:00:27 ; elapsed = 00:00:39 . Memory (MB): peak = 1689.414 ; gain = 421.977 ; free physical = 3293 ; free virtual = 10088
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -580,7 +559,7 @@ Start Final Netlist Cleanup
Finished Final Netlist Cleanup
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished IO Insertion : Time (s): cpu = 00:00:28 ; elapsed = 00:00:41 . Memory (MB): peak = 1687.016 ; gain = 421.969 ; free physical = 2393 ; free virtual = 10825
+Finished IO Insertion : Time (s): cpu = 00:00:27 ; elapsed = 00:00:40 . Memory (MB): peak = 1689.414 ; gain = 421.977 ; free physical = 3293 ; free virtual = 10088
---------------------------------------------------------------------------------
Report Check Netlist:
@@ -593,7 +572,7 @@ Report Check Netlist:
Start Renaming Generated Instances
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Renaming Generated Instances : Time (s): cpu = 00:00:28 ; elapsed = 00:00:41 . Memory (MB): peak = 1687.016 ; gain = 421.969 ; free physical = 2393 ; free virtual = 10825
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:27 ; elapsed = 00:00:40 . Memory (MB): peak = 1689.414 ; gain = 421.977 ; free physical = 3293 ; free virtual = 10088
---------------------------------------------------------------------------------
Report RTL Partitions:
@@ -605,25 +584,25 @@ Report RTL Partitions:
Start Rebuilding User Hierarchy
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:28 ; elapsed = 00:00:41 . Memory (MB): peak = 1687.016 ; gain = 421.969 ; free physical = 2393 ; free virtual = 10825
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:27 ; elapsed = 00:00:40 . Memory (MB): peak = 1689.414 ; gain = 421.977 ; free physical = 3293 ; free virtual = 10088
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Renaming Generated Ports
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Renaming Generated Ports : Time (s): cpu = 00:00:28 ; elapsed = 00:00:41 . Memory (MB): peak = 1687.016 ; gain = 421.969 ; free physical = 2393 ; free virtual = 10825
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:27 ; elapsed = 00:00:40 . Memory (MB): peak = 1689.414 ; gain = 421.977 ; free physical = 3293 ; free virtual = 10088
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Handling Custom Attributes
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Handling Custom Attributes : Time (s): cpu = 00:00:28 ; elapsed = 00:00:41 . Memory (MB): peak = 1687.016 ; gain = 421.969 ; free physical = 2393 ; free virtual = 10825
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:27 ; elapsed = 00:00:40 . Memory (MB): peak = 1689.414 ; gain = 421.977 ; free physical = 3293 ; free virtual = 10088
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Renaming Generated Nets
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-Finished Renaming Generated Nets : Time (s): cpu = 00:00:28 ; elapsed = 00:00:41 . Memory (MB): peak = 1687.016 ; gain = 421.969 ; free physical = 2393 ; free virtual = 10825
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:27 ; elapsed = 00:00:40 . Memory (MB): peak = 1689.414 ; gain = 421.977 ; free physical = 3293 ; free virtual = 10088
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Writing Synthesis Report
@@ -672,11 +651,11 @@ Report Instance Areas:
|4 | s00_couplers |s00_couplers_imp_Z2PNN9 | 177|
+------+---------------------+--------------------------------+------+
---------------------------------------------------------------------------------
-Finished Writing Synthesis Report : Time (s): cpu = 00:00:28 ; elapsed = 00:00:41 . Memory (MB): peak = 1687.016 ; gain = 421.969 ; free physical = 2393 ; free virtual = 10825
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:27 ; elapsed = 00:00:40 . Memory (MB): peak = 1689.414 ; gain = 421.977 ; free physical = 3293 ; free virtual = 10088
---------------------------------------------------------------------------------
Synthesis finished with 0 errors, 0 critical warnings and 6 warnings.
-Synthesis Optimization Runtime : Time (s): cpu = 00:00:19 ; elapsed = 00:00:22 . Memory (MB): peak = 1687.016 ; gain = 147.539 ; free physical = 2451 ; free virtual = 10883
-Synthesis Optimization Complete : Time (s): cpu = 00:00:28 ; elapsed = 00:00:41 . Memory (MB): peak = 1687.023 ; gain = 421.969 ; free physical = 2453 ; free virtual = 10884
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:19 ; elapsed = 00:00:22 . Memory (MB): peak = 1689.414 ; gain = 147.539 ; free physical = 3350 ; free virtual = 10145
+Synthesis Optimization Complete : Time (s): cpu = 00:00:27 ; elapsed = 00:00:40 . Memory (MB): peak = 1689.422 ; gain = 421.977 ; free physical = 3354 ; free virtual = 10149
INFO: [Project 1-571] Translating synthesized netlist
INFO: [Netlist 29-17] Analyzing 3 Unisim elements for replacement
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
@@ -687,10 +666,10 @@ INFO: [Project 1-111] Unisim Transformation Summary:
IOBUF => IOBUF (IBUF, OBUFT): 2 instances
INFO: [Common 17-83] Releasing license: Synthesis
-54 Infos, 48 Warnings, 0 Critical Warnings and 0 Errors encountered.
+54 Infos, 27 Warnings, 0 Critical Warnings and 0 Errors encountered.
synth_design completed successfully
-synth_design: Time (s): cpu = 00:00:30 ; elapsed = 00:00:43 . Memory (MB): peak = 1693.016 ; gain = 452.801 ; free physical = 2432 ; free virtual = 10864
+synth_design: Time (s): cpu = 00:00:29 ; elapsed = 00:00:41 . Memory (MB): peak = 1695.414 ; gain = 452.809 ; free physical = 3327 ; free virtual = 10122
INFO: [Common 17-1381] The checkpoint '/home/nats/project/VNAV2_Zynq/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper.dcp' has been generated.
INFO: [runtcl-4] Executing : report_utilization -file mz_petalinux_wrapper_utilization_synth.rpt -pb mz_petalinux_wrapper_utilization_synth.pb
-report_utilization: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.10 . Memory (MB): peak = 1693.016 ; gain = 0.000 ; free physical = 2432 ; free virtual = 10863
-INFO: [Common 17-206] Exiting Vivado at Fri Oct 18 01:23:27 2019...
+report_utilization: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.11 . Memory (MB): peak = 1695.414 ; gain = 0.000 ; free physical = 3328 ; free virtual = 10124
+INFO: [Common 17-206] Exiting Vivado at Sun Oct 20 22:44:51 2019...
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper_utilization_synth.rpt b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper_utilization_synth.rpt
index e5378b6952208857ac6c81bb8260e22de858ec8f..16a8c47fb98f3235010c20d39b7b62acf806392e 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper_utilization_synth.rpt
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/mz_petalinux_wrapper_utilization_synth.rpt
@@ -1,7 +1,7 @@
Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-----------------------------------------------------------------------------------------------------------------------------------
| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
-| Date : Fri Oct 18 01:23:27 2019
+| Date : Sun Oct 20 22:44:51 2019
| Host : nats-MS-7A72 running 64-bit Ubuntu 18.04.3 LTS
| Command : report_utilization -file mz_petalinux_wrapper_utilization_synth.rpt -pb mz_petalinux_wrapper_utilization_synth.pb
| Design : mz_petalinux_wrapper
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/project.wdf b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/project.wdf
deleted file mode 100644
index 21601fe20b912a86be9c3ff9936dedfb7e0b2ff8..0000000000000000000000000000000000000000
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/project.wdf
+++ /dev/null
@@ -1,72 +0,0 @@
-version:1
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:32:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:39:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:39:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:566572696c6f67:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f737769746368626f6172645f76315f305f345c62645f646630335f617273775f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f737769746368626f6172645f76315f305f345c62645f646630335f617773775f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f737769746368626f6172645f76315f305f345c62645f646630335f6273775f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6e6f64655f76315f305f375c62645f646630335f6d303061726e5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6e6f64655f76315f305f375c62645f646630335f6d303061776e5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6e6f64655f76315f305f375c62645f646630335f6d3030626e5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f657869745f76315f305f365c62645f646630335f6d3030655f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6e6f64655f76315f305f375c62645f646630335f6d3030726e5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f7363326178695f76315f305f355c62645f646630335f6d30307332615f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6e6f64655f76315f305f375c62645f646630335f6d3030776e5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c786c636f6e7374616e745f76315f315f335c62645f646630335f6f6e655f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c70726f635f7379735f72657365745f76355f305f31325c62645f646630335f7073725f61636c6b5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f737769746368626f6172645f76315f305f345c62645f646630335f7273775f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6178693273635f76315f305f355c62645f646630335f7330306132735f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6d6d755f76315f305f355c62645f646630335f7330306d6d755f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f73695f636f6e7665727465725f76315f305f355c62645f646630335f7330307369635f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f7472616e73616374696f6e5f726567756c61746f725f76315f305f365c62645f646630335f73303074725f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6178693273635f76315f305f355c62645f646630335f7330326132735f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6d6d755f76315f305f355c62645f646630335f7330326d6d755f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f73695f636f6e7665727465725f76315f305f355c62645f646630335f7330327369635f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f7472616e73616374696f6e5f726567756c61746f725f76315f305f365c62645f646630335f73303274725f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6e6f64655f76315f305f375c62645f646630335f7361726e5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6e6f64655f76315f305f375c62645f646630335f7361776e5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6e6f64655f76315f305f375c62645f646630335f7361776e5f31:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6e6f64655f76315f305f375c62645f646630335f73626e5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6e6f64655f76315f305f375c62645f646630335f73626e5f31:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6e6f64655f76315f305f375c62645f646630335f73726e5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6e6f64655f76315f305f375c62645f646630335f73776e5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f6e6f64655f76315f305f375c62645f646630335f73776e5f31:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c73635f737769746368626f6172645f76315f305f345c62645f646630335f7773775f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c6669666f5f67656e657261746f725f7631335f325f315c6669666f5f67656e657261746f725f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c4c5443323237315f53616d706c654765747465725f76315f305f345c6d7a5f706574616c696e75785f4c5443323237315f53616d706c654765747465725f305f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c6178695f70726f746f636f6c5f636f6e7665727465725f76325f315f31355c6d7a5f706574616c696e75785f6175746f5f70635f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c6178695f646d615f76375f315f31365c6d7a5f706574616c696e75785f6178695f646d615f305f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c736d617274636f6e6e6563745f76315f305f375c6d7a5f706574616c696e75785f6178695f736d635f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c70726f63657373696e675f73797374656d375f76355f355f365c6d7a5f706574616c696e75785f70726f63657373696e675f73797374656d375f305f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c6178695f696e746572636f6e6e6563745f76325f315f31365c6d7a5f706574616c696e75785f7073375f305f6178695f7065726970685f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c70726f635f7379735f72657365745f76355f305f31325c6d7a5f706574616c696e75785f7273745f7073375f305f3130304d5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c6178695f63726f73736261725f76325f315f31365c6d7a5f706574616c696e75785f786261725f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c786c636f6e6361745f76325f315f315c6d7a5f706574616c696e75785f786c636f6e6361745f305f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:69705f636f72655f636f6e7461696e65725c786c636f6e7374616e745f76315f315f335c6d7a5f706574616c696e75785f786c636f6e7374616e745f305f30:636f72655f636f6e7461696e6572:66616c7365:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:3134:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:3134:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:3134:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:3134:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:3134:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:3134:00:00
-70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:3134:00:00
-5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3539346365306265373661373462333038393336303937366534656230626364:506172656e742050412070726f6a656374204944:00
-eof:1160618421
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/vivado.pb b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/vivado.pb
index 8a50fcf91e25ee0d50424c941e74b746f0122712..2d381be8285f4bc1ef3e9e9f67e8edf46304a2ca 100644
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/vivado.pb and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.runs/synth_1/vivado.pb differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.directory b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.directory
new file mode 100644
index 0000000000000000000000000000000000000000..cd7c6545e2c1cf7e5346d16008a731363aee32ab
--- /dev/null
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.directory
@@ -0,0 +1,4 @@
+[Dolphin]
+Timestamp=2019,10,20,20,41,51
+Version=4
+ViewMode=1
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/com.xilinx.sdk.targetmanager.ui/dialog_settings.xml b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/com.xilinx.sdk.targetmanager.ui/dialog_settings.xml
new file mode 100644
index 0000000000000000000000000000000000000000..5ca0b7769013920648b17f3f51ba3e88139fdf0e
--- /dev/null
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/com.xilinx.sdk.targetmanager.ui/dialog_settings.xml
@@ -0,0 +1,3 @@
+
+
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.cdt.core/hello.1561908118211.pdom b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.cdt.core/hello.1561908118211.pdom
index 9e0b0df7668387d157b04d5b8c4232afbfcd440c..80cebdd57e9ae3728ac4337784996907214ee232 100644
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.cdt.core/hello.1561908118211.pdom and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.cdt.core/hello.1561908118211.pdom differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/24/50d69cdf7df300191e49b0d673419082 b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/24/50d69cdf7df300191e49b0d673419082
new file mode 100644
index 0000000000000000000000000000000000000000..e99953ad38b8fbbfeaeaf490b824055d233ad13a
--- /dev/null
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/24/50d69cdf7df300191e49b0d673419082
@@ -0,0 +1,215 @@
+/*
+ * Copyright (c) 2012 Xilinx, Inc. All rights reserved.
+ *
+ * Xilinx, Inc.
+ * XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" AS A
+ * COURTESY TO YOU. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+ * ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION OR
+ * STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION
+ * IS FREE FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE
+ * FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.
+ * XILINX EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO
+ * THE ADEQUACY OF THE IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO
+ * ANY WARRANTIES OR REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+ * FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY
+ * AND FITNESS FOR A PARTICULAR PURPOSE.
+ *
+ */
+
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+
+#include "si5338.h"
+#include "si5338_genconf.h"
+#include "sys_init.h"
+#include "ltc2271.h"
+
+void init_i2c() {
+ i2c_file = open(i2c_name, O_RDWR);
+ if(i2c_file < 0) {
+ perror("Can't open i2c Device 0");
+ exit(1);
+ }
+ detect_si5338();
+ init_si5338();
+}
+
+void close_i2c() {
+ close(i2c_file);
+}
+
+void close_spi() {
+ close(adc1_file);
+ close(adc2_file);
+}
+
+void detect_si5338() {
+ uint8_t cmd_buffer[6];
+
+ uint8_t rev = 0;
+ uint8_t type = 0;
+ uint8_t grade = 0;
+
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+ cmd_buffer[0] = REVID;
+ write(i2c_file, cmd_buffer, 1);
+
+ /* We try to read the 6 first register to identify the chip */
+ read(i2c_file, cmd_buffer, 6);
+
+ rev = (cmd_buffer[0] & 0x7) ? 'B' : 'A';
+ type = cmd_buffer[2] & 0x3F;
+ grade = ((cmd_buffer[3] & 0xF8) >> 3) + 64; /* We map the value to the Grade */
+
+ printf("Detecting SI5338 - Rev. %c - Type %d - Grade %c \n", rev, type, grade);
+}
+
+void change_si5338_reg(Reg_Data rdata) {
+ uint8_t addrval[2];
+ addrval[0] = rdata.Reg_Addr;
+ addrval[1] = rdata.Reg_Val;
+
+ uint8_t status = 0;
+
+ if(rdata.Reg_Mask == 0xFF) {
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ } else if(rdata.Reg_Mask != 0x00) {
+ uint8_t oldval[1];
+ oldval[0] = 0;
+
+ status = write(i2c_file, addrval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ status = read(i2c_file, oldval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ addrval[1] = (oldval[0] & ~rdata.Reg_Mask) | (addrval[1] & rdata.Reg_Mask);
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ }
+}
+
+void init_si5338() {
+ /* We use the generated clock builder file
+ * WIll be replaced by a calculator
+ */
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+ for(uint16_t i = 0; i < NUM_REGS_MAX; i++) {
+ change_si5338_reg(Reg_Store[i]);
+ }
+}
+
+void start_oled() {
+ int status = ioctl(i2c_file, I2C_SLAVE, oled_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+
+}
+
+void config_ltc2271_reg(Adc_reg rdata) {
+ uint32_t status = 0;
+ uint8_t addrval[2];
+ addrval[0] = rdata.addr;
+ addrval[1] = rdata.val;
+
+ status = write(adc1_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config adc1");
+ exit(1);
+ }
+ status = write(adc2_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config adc2");
+ exit(1);
+ }
+ printf("ADC Configured\n");
+}
+
+void init_spi() {
+ uint32_t status = 0;
+
+ adc1_file = open(adc1_name, O_RDWR);
+ if(adc1_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+ adc2_file = open(adc2_name, O_RDWR);
+ if(adc2_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+
+ status = ioctl(adc1_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ status = ioctl(adc2_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ /* We set the SPI word to 8bits but send 2 bits back to back */
+
+ status = ioctl(adc1_file, SPI_IOC_WR_BITS_PER_WORD, &bits);
+ if(status != 0) {
+ perror("Can't set spi word");
+ exit(1);
+ }
+
+ status = ioctl(adc2_file, SPI_IOC_WR_BITS_PER_WORD, &bits);
+ if(status != 0) {
+ perror("Can't set spi word");
+ exit(1);
+ }
+
+ for(uint8_t i = 0; i < ADC_NBREG; i++) {
+ config_ltc2271_reg(ADCregs[i]);
+ }
+}
+
+int main()
+{
+ printf("Starting VNA Software\n");
+ init_i2c();
+ init_spi();
+
+ close_i2c();
+ close_spi();
+ return 0;
+}
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/26/d0ea5f3279f30019129cbbb66e2d8b8e b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/26/d0ea5f3279f30019129cbbb66e2d8b8e
new file mode 100644
index 0000000000000000000000000000000000000000..2decb1025873b5944e08ef9c6afd06d7c647bd7a
--- /dev/null
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/26/d0ea5f3279f30019129cbbb66e2d8b8e
@@ -0,0 +1,215 @@
+/*
+ * Copyright (c) 2012 Xilinx, Inc. All rights reserved.
+ *
+ * Xilinx, Inc.
+ * XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" AS A
+ * COURTESY TO YOU. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+ * ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION OR
+ * STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION
+ * IS FREE FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE
+ * FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.
+ * XILINX EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO
+ * THE ADEQUACY OF THE IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO
+ * ANY WARRANTIES OR REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+ * FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY
+ * AND FITNESS FOR A PARTICULAR PURPOSE.
+ *
+ */
+
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+
+#include "si5338.h"
+#include "si5338_genconf.h"
+#include "sys_init.h"
+#include "ltc2271.h"
+
+void init_i2c() {
+ i2c_file = open(i2c_name, O_RDWR);
+ if(i2c_file < 0) {
+ perror("Can't open i2c Device 0");
+ exit(1);
+ }
+ detect_si5338();
+ init_si5338();
+}
+
+void close_i2c() {
+ close(i2c_file);
+}
+
+void close_spi() {
+ close(adc1_file);
+ close(adc2_file);
+}
+
+void detect_si5338() {
+ uint8_t cmd_buffer[6];
+
+ uint8_t rev = 0;
+ uint8_t type = 0;
+ uint8_t grade = 0;
+
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+ cmd_buffer[0] = REVID;
+ write(i2c_file, cmd_buffer, 1);
+
+ /* We try to read the 6 first register to identify the chip */
+ read(i2c_file, cmd_buffer, 6);
+
+ rev = (cmd_buffer[0] & 0x7) ? 'B' : 'A';
+ type = cmd_buffer[2] & 0x3F;
+ grade = ((cmd_buffer[3] & 0xF8) >> 3) + 64; /* We map the value to the Grade */
+
+ printf("Detecting SI5338 - Rev. %c - Type %d - Grade %c \n", rev, type, grade);
+}
+
+void change_si5338_reg(Reg_Data rdata) {
+ uint8_t addrval[2];
+ addrval[0] = rdata.Reg_Addr;
+ addrval[1] = rdata.Reg_Val;
+
+ uint8_t status = 0;
+
+ if(rdata.Reg_Mask == 0xFF) {
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ } else if(rdata.Reg_Mask != 0x00) {
+ uint8_t oldval[1];
+ oldval[0] = 0;
+
+ status = write(i2c_file, addrval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ status = read(i2c_file, oldval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ addrval[1] = (oldval[0] & ~rdata.Reg_Mask) | (addrval[1] & rdata.Reg_Mask);
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ }
+}
+
+void init_si5338() {
+ /* We use the generated clock builder file
+ * WIll be replaced by a calculator
+ */
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+ for(uint16_t i = 0; i < NUM_REGS_MAX; i++) {
+ change_si5338_reg(Reg_Store[i]);
+ }
+}
+
+void start_oled() {
+ int status = ioctl(i2c_file, I2C_SLAVE, oled_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+
+}
+
+void config_ltc2271_reg(Adc_reg rdata) {
+ uint32_t status = 0;
+ uint8_t addrval[2];
+ addrval[0] = rdata.addr;
+ addrval[1] = rdata.val;
+
+ status = write(adc1_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config adc1");
+ exit(1);
+ }
+ status = write(adc2_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config adc2");
+ exit(1);
+ }
+ printf("ADC Configured\n");
+}
+
+void init_spi() {
+ uint32_t status = 0;
+
+ adc1_file = open(adc1_name, O_RDWR);
+ if(adc1_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+ adc2_file = open(adc2_name, O_RDWR);
+ if(adc2_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+
+ status = ioctl(adc1_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ status = ioctl(adc2_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ /* We set the SPI word to 8bits but send 2 bits back to back */
+ uint8_t bits = 8;
+ status = ioctl(adc1_file, SPI_IOC_WR_BITS_PER_WORD, &bits);
+ if(status != 0) {
+ perror("Can't set spi word");
+ exit(1);
+ }
+
+ status = ioctl(adc2_file, SPI_IOC_WR_BITS_PER_WORD, &bits);
+ if(status != 0) {
+ perror("Can't set spi word");
+ exit(1);
+ }
+
+ for(uint8_t i = 0; i < ADC_NBREG; i++) {
+ config_ltc2271_reg(ADCregs[i]);
+ }
+}
+
+int main()
+{
+ printf("Starting VNA Software\n");
+ init_i2c();
+ init_spi();
+
+ close_i2c();
+ close_spi();
+ return 0;
+}
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/58/b0d0563f78f30019129cbbb66e2d8b8e b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/58/b0d0563f78f30019129cbbb66e2d8b8e
new file mode 100644
index 0000000000000000000000000000000000000000..8614c902d42f10af0b13dd121e098c6dd48bf795
--- /dev/null
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/58/b0d0563f78f30019129cbbb66e2d8b8e
@@ -0,0 +1,214 @@
+/*
+ * Copyright (c) 2012 Xilinx, Inc. All rights reserved.
+ *
+ * Xilinx, Inc.
+ * XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" AS A
+ * COURTESY TO YOU. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+ * ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION OR
+ * STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION
+ * IS FREE FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE
+ * FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.
+ * XILINX EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO
+ * THE ADEQUACY OF THE IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO
+ * ANY WARRANTIES OR REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+ * FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY
+ * AND FITNESS FOR A PARTICULAR PURPOSE.
+ *
+ */
+
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+
+#include "si5338.h"
+#include "si5338_genconf.h"
+#include "sys_init.h"
+#include "ltc2271.h"
+
+void init_i2c() {
+ i2c_file = open(i2c_name, O_RDWR);
+ if(i2c_file < 0) {
+ perror("Can't open i2c Device 0");
+ exit(1);
+ }
+ detect_si5338();
+ init_si5338();
+}
+
+void close_i2c() {
+ close(i2c_file);
+}
+
+void close_spi() {
+ close(adc1_file);
+ close(adc2_file);
+}
+
+void detect_si5338() {
+ uint8_t cmd_buffer[6];
+
+ uint8_t rev = 0;
+ uint8_t type = 0;
+ uint8_t grade = 0;
+
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+ cmd_buffer[0] = REVID;
+ write(i2c_file, cmd_buffer, 1);
+
+ /* We try to read the 6 first register to identify the chip */
+ read(i2c_file, cmd_buffer, 6);
+
+ rev = (cmd_buffer[0] & 0x7) ? 'B' : 'A';
+ type = cmd_buffer[2] & 0x3F;
+ grade = ((cmd_buffer[3] & 0xF8) >> 3) + 64; /* We map the value to the Grade */
+
+ printf("Detecting SI5338 - Rev. %c - Type %d - Grade %c \n", rev, type, grade);
+}
+
+void change_si5338_reg(Reg_Data rdata) {
+ uint8_t addrval[2];
+ addrval[0] = rdata.Reg_Addr;
+ addrval[1] = rdata.Reg_Val;
+
+ uint8_t status = 0;
+
+ if(rdata.Reg_Mask == 0xFF) {
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ } else if(rdata.Reg_Mask != 0x00) {
+ uint8_t oldval[1];
+ oldval[0] = 0;
+
+ status = write(i2c_file, addrval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ status = read(i2c_file, oldval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ addrval[1] = (oldval[0] & ~rdata.Reg_Mask) | (addrval[1] & rdata.Reg_Mask);
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ }
+}
+
+void init_si5338() {
+ /* We use the generated clock builder file
+ * WIll be replaced by a calculator
+ */
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+ for(uint16_t i = 0; i < NUM_REGS_MAX; i++) {
+ change_si5338_reg(Reg_Store[i]);
+ }
+}
+
+void start_oled() {
+ int status = ioctl(i2c_file, I2C_SLAVE, oled_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+
+}
+
+void config_ltc2271_reg(Adc_reg rdata) {
+ uint32_t status = 0;
+ uint8_t addrval[2];
+ addrval[0] = rdata.addr;
+ addrval[1] = rdata.val;
+
+ status = write(adc1_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config adc1");
+ exit(1);
+ }
+ status = write(adc2_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config adc2");
+ exit(1);
+ }
+ printf("ADC Configured\n");
+}
+
+void init_spi() {
+ uint32_t status = 0;
+
+ adc1_file = open(adc1_name, O_RDWR);
+ if(adc1_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+ adc2_file = open(adc2_name, O_RDWR);
+ if(adc2_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+
+ status = ioctl(adc1_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ status = ioctl(adc2_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ /* We set the SPI word to 8bits but send 2 bits back to back */
+// status = ioctl(adc1_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+//
+// status = ioctl(adc2_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+
+ for(uint8_t i = 0; i < ADC_NBREG; i++) {
+ config_ltc2271_reg(ADCregs[i]);
+ }
+}
+
+int main()
+{
+ printf("Starting VNA Software\n");
+ init_i2c();
+ init_spi();
+
+ close_i2c();
+ close_spi();
+ return 0;
+}
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/6a/e0ff36e678f30019129cbbb66e2d8b8e b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/6a/e0ff36e678f30019129cbbb66e2d8b8e
new file mode 100644
index 0000000000000000000000000000000000000000..0c3cb4618cd51144da3eec2ea5175ff736ede296
--- /dev/null
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/6a/e0ff36e678f30019129cbbb66e2d8b8e
@@ -0,0 +1,214 @@
+/*
+ * Copyright (c) 2012 Xilinx, Inc. All rights reserved.
+ *
+ * Xilinx, Inc.
+ * XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" AS A
+ * COURTESY TO YOU. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+ * ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION OR
+ * STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION
+ * IS FREE FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE
+ * FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.
+ * XILINX EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO
+ * THE ADEQUACY OF THE IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO
+ * ANY WARRANTIES OR REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+ * FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY
+ * AND FITNESS FOR A PARTICULAR PURPOSE.
+ *
+ */
+
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+
+#include "si5338.h"
+#include "si5338_genconf.h"
+#include "sys_init.h"
+#include "ltc2271.h"
+
+void init_i2c() {
+ i2c_file = open(i2c_name, O_RDWR);
+ if(i2c_file < 0) {
+ perror("Can't open i2c Device 0");
+ exit(1);
+ }
+ detect_si5338();
+ init_si5338();
+}
+
+void close_i2c() {
+ close(i2c_file);
+}
+
+void close_spi() {
+ close(adc1_file);
+ close(adc2_file);
+}
+
+void detect_si5338() {
+ uint8_t cmd_buffer[6];
+
+ uint8_t rev = 0;
+ uint8_t type = 0;
+ uint8_t grade = 0;
+
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+ cmd_buffer[0] = REVID;
+ write(i2c_file, cmd_buffer, 1);
+
+ /* We try to read the 6 first register to identify the chip */
+ read(i2c_file, cmd_buffer, 6);
+
+ rev = (cmd_buffer[0] & 0x7) ? 'B' : 'A';
+ type = cmd_buffer[2] & 0x3F;
+ grade = ((cmd_buffer[3] & 0xF8) >> 3) + 64; /* We map the value to the Grade */
+
+ printf("Detecting SI5338 - Rev. %c - Type %d - Grade %c \n", rev, type, grade);
+}
+
+void change_si5338_reg(Reg_Data rdata) {
+ uint8_t addrval[2];
+ addrval[0] = rdata.Reg_Addr;
+ addrval[1] = rdata.Reg_Val;
+
+ uint8_t status = 0;
+
+ if(rdata.Reg_Mask == 0xFF) {
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ } else if(rdata.Reg_Mask != 0x00) {
+ uint8_t oldval[1];
+ oldval[0] = 0;
+
+ status = write(i2c_file, addrval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ status = read(i2c_file, oldval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ addrval[1] = (oldval[0] & ~rdata.Reg_Mask) | (addrval[1] & rdata.Reg_Mask);
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ }
+}
+
+void init_si5338() {
+ /* We use the generated clock builder file
+ * WIll be replaced by a calculator
+ */
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+ for(uint16_t i = 0; i < NUM_REGS_MAX; i++) {
+ change_si5338_reg(Reg_Store[i]);
+ }
+}
+
+void start_oled() {
+ int status = ioctl(i2c_file, I2C_SLAVE, oled_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+
+}
+
+void config_ltc2271_reg(Adc_reg rdata) {
+ uint32_t status = 0;
+ uint8_t addrval[2];
+ addrval[0] = rdata.addr;
+ addrval[1] = rdata.val;
+
+ status = write(adc1_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config adc1");
+ exit(1);
+ }
+ status = write(adc2_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config adc2");
+ exit(1);
+ }
+ printf("ADC Configured\n");
+}
+
+void init_spi() {
+ uint32_t status = 0;
+
+ adc1_file = open(adc1_name, O_RDWR);
+ if(adc1_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+ adc2_file = open(adc2_name, O_RDWR);
+ if(adc2_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+
+ status = ioctl(adc1_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ status = ioctl(adc2_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ /* We set the SPI word to 8bits but send 2 bits back to back */
+ status = ioctl(adc1_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+ if(status != 0) {
+ perror("Can't set spi word");
+ exit(1);
+ }
+
+ status = ioctl(adc2_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+ if(status != 0) {
+ perror("Can't set spi word");
+ exit(1);
+ }
+
+ for(uint8_t i = 0; i < ADC_NBREG; i++) {
+ config_ltc2271_reg(ADCregs[i]);
+ }
+}
+
+int main()
+{
+ printf("Starting VNA Software\n");
+ init_i2c();
+ init_spi();
+
+ close_i2c();
+ close_spi();
+ return 0;
+}
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/7a/7082499540f300191cdece84059b9aa6 b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/7a/7082499540f300191cdece84059b9aa6
new file mode 100644
index 0000000000000000000000000000000000000000..5902437001a2965fe8cbff12db50218f4a523b34
--- /dev/null
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/7a/7082499540f300191cdece84059b9aa6
@@ -0,0 +1,213 @@
+/*
+ * Copyright (c) 2012 Xilinx, Inc. All rights reserved.
+ *
+ * Xilinx, Inc.
+ * XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" AS A
+ * COURTESY TO YOU. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+ * ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION OR
+ * STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION
+ * IS FREE FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE
+ * FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.
+ * XILINX EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO
+ * THE ADEQUACY OF THE IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO
+ * ANY WARRANTIES OR REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+ * FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY
+ * AND FITNESS FOR A PARTICULAR PURPOSE.
+ *
+ */
+
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+
+#include "si5338.h"
+#include "si5338_genconf.h"
+#include "sys_init.h"
+#include "ltc2271.h"
+
+void init_i2c() {
+ i2c_file = open(i2c_name, O_RDWR);
+ if(i2c_file < 0) {
+ perror("Can't open i2c Device 0");
+ exit(1);
+ }
+ detect_si5338();
+ init_si5338();
+}
+
+void close_i2c() {
+ close(i2c_file);
+}
+
+void close_spi() {
+ close(adc1_file);
+ close(adc2_file);
+}
+
+void detect_si5338() {
+ uint8_t cmd_buffer[6];
+
+ uint8_t rev = 0;
+ uint8_t type = 0;
+ uint8_t grade = 0;
+
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+ cmd_buffer[0] = REVID;
+ write(i2c_file, cmd_buffer, 1);
+
+ /* We try to read the 6 first register to identify the chip */
+ read(i2c_file, cmd_buffer, 6);
+
+ rev = (cmd_buffer[0] & 0x7) ? 'B' : 'A';
+ type = cmd_buffer[2] & 0x3F;
+ grade = ((cmd_buffer[3] & 0xF8) >> 3) + 64; /* We map the value to the Grade */
+
+ printf("Detecting SI5338 - Rev. %c - Type %d - Grade %c \n", rev, type, grade);
+}
+
+void change_si5338_reg(Reg_Data rdata) {
+ uint8_t addrval[2];
+ addrval[0] = rdata.Reg_Addr;
+ addrval[1] = rdata.Reg_Val;
+
+ uint8_t status = 0;
+
+ if(rdata.Reg_Mask == 0xFF) {
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ } else if(rdata.Reg_Mask != 0x00) {
+ uint8_t oldval[1];
+ oldval[0] = 0;
+
+ status = write(i2c_file, addrval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ status = read(i2c_file, oldval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ addrval[1] = (oldval[0] & ~rdata.Reg_Mask) | (addrval[1] & rdata.Reg_Mask);
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ }
+}
+
+void init_si5338() {
+ /* We use the generated clock builder file
+ * WIll be replaced by a calculator
+ */
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+ for(uint16_t i = 0; i < NUM_REGS_MAX; i++) {
+ change_si5338_reg(Reg_Store[i]);
+ }
+}
+
+void start_oled() {
+ int status = ioctl(i2c_file, I2C_SLAVE, oled_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+
+}
+
+void config_ltc2271_reg(Adc_reg rdata) {
+ uint32_t status = 0;
+ uint8_t addrval[2];
+ addrval[0] = rdata.addr;
+ addrval[1] = rdata.val;
+
+ status = write(adc1_file, addrval, 2);
+ if(status != 2) {
+ perror("can't config adc1");
+ exit(1);
+ }
+ status = write(adc2_file, addrval, 2);
+ if(status != 2) {
+ perror("can't config adc2");
+ exit(1);
+ }
+}
+
+void init_spi() {
+ uint32_t status = 0;
+
+ adc1_file = open(adc1_name, O_RDWR);
+ if(adc1_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+ adc2_file = open(adc2_name, O_RDWR);
+ if(adc2_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+
+ status = ioctl(adc1_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ status = ioctl(adc2_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ /* We set the SPI word to 8bits but send 2 bits back to back */
+// status = ioctl(adc1_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+//
+// status = ioctl(adc2_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+
+ for(uint8_t i = 0; i < ADC_NBREG; i++) {
+ config_ltc2271_reg(ADCregs[i]);
+ }
+}
+
+int main()
+{
+ printf("Starting VNA Software\n");
+ init_i2c();
+ init_spi();
+
+ close_i2c();
+ close_spi();
+ return 0;
+}
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/90/70debef455f3001914f8af9fb42ee8cd b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/90/70debef455f3001914f8af9fb42ee8cd
new file mode 100644
index 0000000000000000000000000000000000000000..f5f496e234e5d1811577dd5e64706ffecbe51703
--- /dev/null
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/90/70debef455f3001914f8af9fb42ee8cd
@@ -0,0 +1,213 @@
+/*
+ * Copyright (c) 2012 Xilinx, Inc. All rights reserved.
+ *
+ * Xilinx, Inc.
+ * XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" AS A
+ * COURTESY TO YOU. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+ * ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION OR
+ * STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION
+ * IS FREE FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE
+ * FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.
+ * XILINX EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO
+ * THE ADEQUACY OF THE IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO
+ * ANY WARRANTIES OR REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+ * FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY
+ * AND FITNESS FOR A PARTICULAR PURPOSE.
+ *
+ */
+
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+
+#include "si5338.h"
+#include "si5338_genconf.h"
+#include "sys_init.h"
+#include "ltc2271.h"
+
+void init_i2c() {
+ i2c_file = open(i2c_name, O_RDWR);
+ if(i2c_file < 0) {
+ perror("Can't open i2c Device 0");
+ exit(1);
+ }
+ detect_si5338();
+ init_si5338();
+}
+
+void close_i2c() {
+ close(i2c_file);
+}
+
+void close_spi() {
+ close(adc1_file);
+ close(adc2_file);
+}
+
+void detect_si5338() {
+ uint8_t cmd_buffer[6];
+
+ uint8_t rev = 0;
+ uint8_t type = 0;
+ uint8_t grade = 0;
+
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+ cmd_buffer[0] = REVID;
+ write(i2c_file, cmd_buffer, 1);
+
+ /* We try to read the 6 first register to identify the chip */
+ read(i2c_file, cmd_buffer, 6);
+
+ rev = (cmd_buffer[0] & 0x7) ? 'B' : 'A';
+ type = cmd_buffer[2] & 0x3F;
+ grade = ((cmd_buffer[3] & 0xF8) >> 3) + 64; /* We map the value to the Grade */
+
+ printf("Detecting SI5338 - Rev. %c - Type %d - Grade %c \n", rev, type, grade);
+}
+
+void change_si5338_reg(Reg_Data rdata) {
+ uint8_t addrval[2];
+ addrval[0] = rdata.Reg_Addr;
+ addrval[1] = rdata.Reg_Val;
+
+ uint8_t status = 0;
+
+ if(rdata.Reg_Mask == 0xFF) {
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ } else if(rdata.Reg_Mask != 0x00) {
+ uint8_t oldval[1];
+ oldval[0] = 0;
+
+ status = write(i2c_file, addrval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ status = read(i2c_file, oldval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ addrval[1] = (oldval[0] & ~rdata.Reg_Mask) | (addrval[1] & rdata.Reg_Mask);
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ }
+}
+
+void init_si5338() {
+ /* We use the generated clock builder file
+ * WIll be replaced by a calculator
+ */
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+ for(uint16_t i = 0; i < NUM_REGS_MAX; i++) {
+ change_si5338_reg(Reg_Store[i]);
+ }
+}
+
+void start_oled() {
+ int status = ioctl(i2c_file, I2C_SLAVE, oled_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+
+}
+
+void config_ltc2271_reg(Adc_reg rdata) {
+ uint32_t status = 0;
+ uint8_t addrval[2];
+ addrval[0] = rdata.addr;
+ addrval[1] = rdata.val;
+
+ status = write(adc1_file, addrval, 2);
+ if(status != 2) {
+ perror("can't config adc1");
+ exit(1);
+ }
+ status = write(adc2_file, addrval, 2);
+ if(status != 2) {
+ perror("can't config adc2");
+ exit(1);
+ }
+}
+
+void init_spi() {
+ uint32_t status = 0;
+
+ adc1_file = open(adc1_name, O_RDWR);
+ if(adc1_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+ adc2_file = open(adc2_name, O_RDWR);
+ if(adc2_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+
+/* status = ioctl(adc1_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ status = ioctl(adc2_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }*/
+
+ /* We set the SPI word to 8bits but send 2 bits back to back */
+// status = ioctl(adc1_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+//
+// status = ioctl(adc2_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+
+ for(uint8_t i = 0; i < ADC_NBREG; i++) {
+ config_ltc2271_reg(ADCregs[i]);
+ }
+}
+
+int main()
+{
+ printf("Starting VNA Software\n");
+ init_i2c();
+ init_spi();
+
+ close_i2c();
+ close_spi();
+ return 0;
+}
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/d1/900b633e5cf3001914f8af9fb42ee8cd b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/d1/900b633e5cf3001914f8af9fb42ee8cd
new file mode 100644
index 0000000000000000000000000000000000000000..8614c902d42f10af0b13dd121e098c6dd48bf795
--- /dev/null
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/d1/900b633e5cf3001914f8af9fb42ee8cd
@@ -0,0 +1,214 @@
+/*
+ * Copyright (c) 2012 Xilinx, Inc. All rights reserved.
+ *
+ * Xilinx, Inc.
+ * XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" AS A
+ * COURTESY TO YOU. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+ * ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION OR
+ * STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION
+ * IS FREE FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE
+ * FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.
+ * XILINX EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO
+ * THE ADEQUACY OF THE IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO
+ * ANY WARRANTIES OR REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+ * FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY
+ * AND FITNESS FOR A PARTICULAR PURPOSE.
+ *
+ */
+
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+
+#include "si5338.h"
+#include "si5338_genconf.h"
+#include "sys_init.h"
+#include "ltc2271.h"
+
+void init_i2c() {
+ i2c_file = open(i2c_name, O_RDWR);
+ if(i2c_file < 0) {
+ perror("Can't open i2c Device 0");
+ exit(1);
+ }
+ detect_si5338();
+ init_si5338();
+}
+
+void close_i2c() {
+ close(i2c_file);
+}
+
+void close_spi() {
+ close(adc1_file);
+ close(adc2_file);
+}
+
+void detect_si5338() {
+ uint8_t cmd_buffer[6];
+
+ uint8_t rev = 0;
+ uint8_t type = 0;
+ uint8_t grade = 0;
+
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+ cmd_buffer[0] = REVID;
+ write(i2c_file, cmd_buffer, 1);
+
+ /* We try to read the 6 first register to identify the chip */
+ read(i2c_file, cmd_buffer, 6);
+
+ rev = (cmd_buffer[0] & 0x7) ? 'B' : 'A';
+ type = cmd_buffer[2] & 0x3F;
+ grade = ((cmd_buffer[3] & 0xF8) >> 3) + 64; /* We map the value to the Grade */
+
+ printf("Detecting SI5338 - Rev. %c - Type %d - Grade %c \n", rev, type, grade);
+}
+
+void change_si5338_reg(Reg_Data rdata) {
+ uint8_t addrval[2];
+ addrval[0] = rdata.Reg_Addr;
+ addrval[1] = rdata.Reg_Val;
+
+ uint8_t status = 0;
+
+ if(rdata.Reg_Mask == 0xFF) {
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ } else if(rdata.Reg_Mask != 0x00) {
+ uint8_t oldval[1];
+ oldval[0] = 0;
+
+ status = write(i2c_file, addrval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ status = read(i2c_file, oldval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ addrval[1] = (oldval[0] & ~rdata.Reg_Mask) | (addrval[1] & rdata.Reg_Mask);
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ }
+}
+
+void init_si5338() {
+ /* We use the generated clock builder file
+ * WIll be replaced by a calculator
+ */
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+ for(uint16_t i = 0; i < NUM_REGS_MAX; i++) {
+ change_si5338_reg(Reg_Store[i]);
+ }
+}
+
+void start_oled() {
+ int status = ioctl(i2c_file, I2C_SLAVE, oled_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+
+}
+
+void config_ltc2271_reg(Adc_reg rdata) {
+ uint32_t status = 0;
+ uint8_t addrval[2];
+ addrval[0] = rdata.addr;
+ addrval[1] = rdata.val;
+
+ status = write(adc1_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config adc1");
+ exit(1);
+ }
+ status = write(adc2_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config adc2");
+ exit(1);
+ }
+ printf("ADC Configured\n");
+}
+
+void init_spi() {
+ uint32_t status = 0;
+
+ adc1_file = open(adc1_name, O_RDWR);
+ if(adc1_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+ adc2_file = open(adc2_name, O_RDWR);
+ if(adc2_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+
+ status = ioctl(adc1_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ status = ioctl(adc2_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ /* We set the SPI word to 8bits but send 2 bits back to back */
+// status = ioctl(adc1_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+//
+// status = ioctl(adc2_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+
+ for(uint8_t i = 0; i < ADC_NBREG; i++) {
+ config_ltc2271_reg(ADCregs[i]);
+ }
+}
+
+int main()
+{
+ printf("Starting VNA Software\n");
+ init_i2c();
+ init_spi();
+
+ close_i2c();
+ close_spi();
+ return 0;
+}
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/e4/006c60bc5bf3001914f8af9fb42ee8cd b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/e4/006c60bc5bf3001914f8af9fb42ee8cd
new file mode 100644
index 0000000000000000000000000000000000000000..7bf40797d0d1eeea78f1f0111004164c831078b9
--- /dev/null
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/e4/006c60bc5bf3001914f8af9fb42ee8cd
@@ -0,0 +1,214 @@
+/*
+ * Copyright (c) 2012 Xilinx, Inc. All rights reserved.
+ *
+ * Xilinx, Inc.
+ * XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" AS A
+ * COURTESY TO YOU. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+ * ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION OR
+ * STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION
+ * IS FREE FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE
+ * FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.
+ * XILINX EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO
+ * THE ADEQUACY OF THE IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO
+ * ANY WARRANTIES OR REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+ * FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY
+ * AND FITNESS FOR A PARTICULAR PURPOSE.
+ *
+ */
+
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+
+#include "si5338.h"
+#include "si5338_genconf.h"
+#include "sys_init.h"
+#include "ltc2271.h"
+
+void init_i2c() {
+ i2c_file = open(i2c_name, O_RDWR);
+ if(i2c_file < 0) {
+ perror("Can't open i2c Device 0");
+ exit(1);
+ }
+ detect_si5338();
+ init_si5338();
+}
+
+void close_i2c() {
+ close(i2c_file);
+}
+
+void close_spi() {
+ close(adc1_file);
+ close(adc2_file);
+}
+
+void detect_si5338() {
+ uint8_t cmd_buffer[6];
+
+ uint8_t rev = 0;
+ uint8_t type = 0;
+ uint8_t grade = 0;
+
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+ cmd_buffer[0] = REVID;
+ write(i2c_file, cmd_buffer, 1);
+
+ /* We try to read the 6 first register to identify the chip */
+ read(i2c_file, cmd_buffer, 6);
+
+ rev = (cmd_buffer[0] & 0x7) ? 'B' : 'A';
+ type = cmd_buffer[2] & 0x3F;
+ grade = ((cmd_buffer[3] & 0xF8) >> 3) + 64; /* We map the value to the Grade */
+
+ printf("Detecting SI5338 - Rev. %c - Type %d - Grade %c \n", rev, type, grade);
+}
+
+void change_si5338_reg(Reg_Data rdata) {
+ uint8_t addrval[2];
+ addrval[0] = rdata.Reg_Addr;
+ addrval[1] = rdata.Reg_Val;
+
+ uint8_t status = 0;
+
+ if(rdata.Reg_Mask == 0xFF) {
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ } else if(rdata.Reg_Mask != 0x00) {
+ uint8_t oldval[1];
+ oldval[0] = 0;
+
+ status = write(i2c_file, addrval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ status = read(i2c_file, oldval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ addrval[1] = (oldval[0] & ~rdata.Reg_Mask) | (addrval[1] & rdata.Reg_Mask);
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ }
+}
+
+void init_si5338() {
+ /* We use the generated clock builder file
+ * WIll be replaced by a calculator
+ */
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+ for(uint16_t i = 0; i < NUM_REGS_MAX; i++) {
+ change_si5338_reg(Reg_Store[i]);
+ }
+}
+
+void start_oled() {
+ int status = ioctl(i2c_file, I2C_SLAVE, oled_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+
+}
+
+void config_ltc2271_reg(Adc_reg rdata) {
+ uint32_t status = 0;
+ uint8_t addrval[2];
+ addrval[0] = rdata.addr;
+ addrval[1] = rdata.val;
+
+ status = write(adc1_file, addrval, 2);
+ if(status != 2) {
+ perror("can't config adc1");
+ exit(1);
+ }
+ status = write(adc2_file, addrval, 2);
+ if(status != 2) {
+ perror("can't config adc2");
+ exit(1);
+ }
+ printf("ADC Configured\n");
+}
+
+void init_spi() {
+ uint32_t status = 0;
+
+ adc1_file = open(adc1_name, O_RDWR);
+ if(adc1_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+ adc2_file = open(adc2_name, O_RDWR);
+ if(adc2_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+
+ status = ioctl(adc1_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ status = ioctl(adc2_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ /* We set the SPI word to 8bits but send 2 bits back to back */
+// status = ioctl(adc1_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+//
+// status = ioctl(adc2_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+
+ for(uint8_t i = 0; i < ADC_NBREG; i++) {
+ config_ltc2271_reg(ADCregs[i]);
+ }
+}
+
+int main()
+{
+ printf("Starting VNA Software\n");
+ init_i2c();
+ init_spi();
+
+ close_i2c();
+ close_spi();
+ return 0;
+}
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/ea/a00ba3416df3001911e7b3b20042e599 b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/ea/a00ba3416df3001911e7b3b20042e599
new file mode 100644
index 0000000000000000000000000000000000000000..361701eb92d5fd615f0d0865b6e04562c03ecadd
--- /dev/null
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/ea/a00ba3416df3001911e7b3b20042e599
@@ -0,0 +1,214 @@
+/*
+ * Copyright (c) 2012 Xilinx, Inc. All rights reserved.
+ *
+ * Xilinx, Inc.
+ * XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" AS A
+ * COURTESY TO YOU. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+ * ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION OR
+ * STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION
+ * IS FREE FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE
+ * FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.
+ * XILINX EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO
+ * THE ADEQUACY OF THE IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO
+ * ANY WARRANTIES OR REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+ * FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY
+ * AND FITNESS FOR A PARTICULAR PURPOSE.
+ *
+ */
+
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+
+#include "si5338.h"
+#include "si5338_genconf.h"
+#include "sys_init.h"
+#include "ltc2271.h"
+
+void init_i2c() {
+ i2c_file = open(i2c_name, O_RDWR);
+ if(i2c_file < 0) {
+ perror("Can't open i2c Device 0");
+ exit(1);
+ }
+ detect_si5338();
+ init_si5338();
+}
+
+void close_i2c() {
+ close(i2c_file);
+}
+
+void close_spi() {
+ close(adc1_file);
+ close(adc2_file);
+}
+
+void detect_si5338() {
+ uint8_t cmd_buffer[6];
+
+ uint8_t rev = 0;
+ uint8_t type = 0;
+ uint8_t grade = 0;
+
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+ cmd_buffer[0] = REVID;
+ write(i2c_file, cmd_buffer, 1);
+
+ /* We try to read the 6 first register to identify the chip */
+ read(i2c_file, cmd_buffer, 6);
+
+ rev = (cmd_buffer[0] & 0x7) ? 'B' : 'A';
+ type = cmd_buffer[2] & 0x3F;
+ grade = ((cmd_buffer[3] & 0xF8) >> 3) + 64; /* We map the value to the Grade */
+
+ printf("Detecting SI5338 - Rev. %c - Type %d - Grade %c \n", rev, type, grade);
+}
+
+void change_si5338_reg(Reg_Data rdata) {
+ uint8_t addrval[2];
+ addrval[0] = rdata.Reg_Addr;
+ addrval[1] = rdata.Reg_Val;
+
+ uint8_t status = 0;
+
+ if(rdata.Reg_Mask == 0xFF) {
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ } else if(rdata.Reg_Mask != 0x00) {
+ uint8_t oldval[1];
+ oldval[0] = 0;
+
+ status = write(i2c_file, addrval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ status = read(i2c_file, oldval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ addrval[1] = (oldval[0] & ~rdata.Reg_Mask) | (addrval[1] & rdata.Reg_Mask);
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ }
+}
+
+void init_si5338() {
+ /* We use the generated clock builder file
+ * WIll be replaced by a calculator
+ */
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+ for(uint16_t i = 0; i < NUM_REGS_MAX; i++) {
+ change_si5338_reg(Reg_Store[i]);
+ }
+}
+
+void start_oled() {
+ int status = ioctl(i2c_file, I2C_SLAVE, oled_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+
+}
+
+void config_ltc2271_reg(Adc_reg rdata) {
+ uint32_t status = 0;
+ uint8_t addrval[2];
+ addrval[0] = rdata.addr;
+ addrval[1] = rdata.val;
+
+ status = write(adc1_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config adc1");
+ exit(1);
+ }
+ status = write(adc2_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config adc2");
+ exit(1);
+ }
+ printf("ADC Configured\n");
+}
+
+void init_spi() {
+ uint32_t status = 0;
+
+ adc1_file = open(adc1_name, O_RDWR);
+ if(adc1_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+ adc2_file = open(adc2_name, O_RDWR);
+ if(adc2_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+
+ /*status = ioctl(adc1_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ status = ioctl(adc2_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }*/
+
+ /* We set the SPI word to 8bits but send 2 bits back to back */
+// status = ioctl(adc1_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+//
+// status = ioctl(adc2_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+
+ for(uint8_t i = 0; i < ADC_NBREG; i++) {
+ config_ltc2271_reg(ADCregs[i]);
+ }
+}
+
+int main()
+{
+ printf("Starting VNA Software\n");
+ init_i2c();
+ init_spi();
+
+ close_i2c();
+ close_spi();
+ return 0;
+}
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/ee/c07cf1e75af3001914f8af9fb42ee8cd b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/ee/c07cf1e75af3001914f8af9fb42ee8cd
new file mode 100644
index 0000000000000000000000000000000000000000..5902437001a2965fe8cbff12db50218f4a523b34
--- /dev/null
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.history/ee/c07cf1e75af3001914f8af9fb42ee8cd
@@ -0,0 +1,213 @@
+/*
+ * Copyright (c) 2012 Xilinx, Inc. All rights reserved.
+ *
+ * Xilinx, Inc.
+ * XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" AS A
+ * COURTESY TO YOU. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+ * ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION OR
+ * STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION
+ * IS FREE FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE
+ * FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.
+ * XILINX EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO
+ * THE ADEQUACY OF THE IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO
+ * ANY WARRANTIES OR REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+ * FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY
+ * AND FITNESS FOR A PARTICULAR PURPOSE.
+ *
+ */
+
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+#include
+
+#include "si5338.h"
+#include "si5338_genconf.h"
+#include "sys_init.h"
+#include "ltc2271.h"
+
+void init_i2c() {
+ i2c_file = open(i2c_name, O_RDWR);
+ if(i2c_file < 0) {
+ perror("Can't open i2c Device 0");
+ exit(1);
+ }
+ detect_si5338();
+ init_si5338();
+}
+
+void close_i2c() {
+ close(i2c_file);
+}
+
+void close_spi() {
+ close(adc1_file);
+ close(adc2_file);
+}
+
+void detect_si5338() {
+ uint8_t cmd_buffer[6];
+
+ uint8_t rev = 0;
+ uint8_t type = 0;
+ uint8_t grade = 0;
+
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+ cmd_buffer[0] = REVID;
+ write(i2c_file, cmd_buffer, 1);
+
+ /* We try to read the 6 first register to identify the chip */
+ read(i2c_file, cmd_buffer, 6);
+
+ rev = (cmd_buffer[0] & 0x7) ? 'B' : 'A';
+ type = cmd_buffer[2] & 0x3F;
+ grade = ((cmd_buffer[3] & 0xF8) >> 3) + 64; /* We map the value to the Grade */
+
+ printf("Detecting SI5338 - Rev. %c - Type %d - Grade %c \n", rev, type, grade);
+}
+
+void change_si5338_reg(Reg_Data rdata) {
+ uint8_t addrval[2];
+ addrval[0] = rdata.Reg_Addr;
+ addrval[1] = rdata.Reg_Val;
+
+ uint8_t status = 0;
+
+ if(rdata.Reg_Mask == 0xFF) {
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ } else if(rdata.Reg_Mask != 0x00) {
+ uint8_t oldval[1];
+ oldval[0] = 0;
+
+ status = write(i2c_file, addrval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ status = read(i2c_file, oldval, 1);
+ if(status != 1) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+
+ addrval[1] = (oldval[0] & ~rdata.Reg_Mask) | (addrval[1] & rdata.Reg_Mask);
+ status = write(i2c_file, addrval, 2);
+ if(status != 2) {
+ perror("Can't config SI5338");
+ exit(1);
+ }
+ }
+}
+
+void init_si5338() {
+ /* We use the generated clock builder file
+ * WIll be replaced by a calculator
+ */
+ int status = ioctl(i2c_file, I2C_SLAVE, si5338_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+ for(uint16_t i = 0; i < NUM_REGS_MAX; i++) {
+ change_si5338_reg(Reg_Store[i]);
+ }
+}
+
+void start_oled() {
+ int status = ioctl(i2c_file, I2C_SLAVE, oled_addr);
+ if(status < 0) {
+ perror("Can't set the Slave Address");
+ exit(1);
+ }
+
+
+}
+
+void config_ltc2271_reg(Adc_reg rdata) {
+ uint32_t status = 0;
+ uint8_t addrval[2];
+ addrval[0] = rdata.addr;
+ addrval[1] = rdata.val;
+
+ status = write(adc1_file, addrval, 2);
+ if(status != 2) {
+ perror("can't config adc1");
+ exit(1);
+ }
+ status = write(adc2_file, addrval, 2);
+ if(status != 2) {
+ perror("can't config adc2");
+ exit(1);
+ }
+}
+
+void init_spi() {
+ uint32_t status = 0;
+
+ adc1_file = open(adc1_name, O_RDWR);
+ if(adc1_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+ adc2_file = open(adc2_name, O_RDWR);
+ if(adc2_file < 0) {
+ perror("Can't open spidev");
+ exit(1);
+ }
+
+ status = ioctl(adc1_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ status = ioctl(adc2_file, SPI_IOC_WR_MAX_SPEED_HZ, &SPISPEED);
+ if(status != 0) {
+ perror("Can't set spi speed");
+ exit(1);
+ }
+
+ /* We set the SPI word to 8bits but send 2 bits back to back */
+// status = ioctl(adc1_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+//
+// status = ioctl(adc2_file, SPI_IOC_WR_BITS_PER_WORD, 1);
+// if(status != 0) {
+// perror("Can't set spi word");
+// exit(1);
+// }
+
+ for(uint8_t i = 0; i < ADC_NBREG; i++) {
+ config_ltc2271_reg(ADCregs[i]);
+ }
+}
+
+int main()
+{
+ printf("Starting VNA Software\n");
+ init_i2c();
+ init_spi();
+
+ close_i2c();
+ close_spi();
+ return 0;
+}
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.markers.snap b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.markers.snap
new file mode 100644
index 0000000000000000000000000000000000000000..0b368ce14fbcdffc79d020bc00b88646cda52674
Binary files /dev/null and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.markers.snap differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.syncinfo.snap b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.syncinfo.snap
new file mode 100644
index 0000000000000000000000000000000000000000..0b368ce14fbcdffc79d020bc00b88646cda52674
Binary files /dev/null and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.syncinfo.snap differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.indexes/e4/history.index b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.indexes/e4/history.index
new file mode 100644
index 0000000000000000000000000000000000000000..758b92c639dd406b79274190286cdbac43e25b04
Binary files /dev/null and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.indexes/e4/history.index differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.indexes/e4/properties.index b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.indexes/e4/properties.index
index 3762d93a06a3b8b6264c0e89171e0e4596877d8d..024793cac44a4e491a2714c71e9528124db65be0 100644
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.indexes/e4/properties.index and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.indexes/e4/properties.index differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.markers b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.markers
index f2f99578f6a9d0c12ce149b50311f4627593c7c3..968ae1cb483611882d1c082b08cd7e2a18a03652 100644
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.markers and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.markers differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.markers.snap b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.markers.snap
new file mode 100644
index 0000000000000000000000000000000000000000..4b05eb6f1f026767f1f4764a23df399d7559a025
Binary files /dev/null and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.markers.snap differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.syncinfo.snap b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.syncinfo.snap
new file mode 100644
index 0000000000000000000000000000000000000000..0b368ce14fbcdffc79d020bc00b88646cda52674
Binary files /dev/null and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/hello/.syncinfo.snap differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/mz_petalinux_wrapper_hw_platform_0/.indexes/properties.index b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/mz_petalinux_wrapper_hw_platform_0/.indexes/properties.index
index ec27be452900d0a818ea0cba0066fcc5935811de..50bcc5d44e9e17284220ff20dc81350a2b29f2bc 100644
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/mz_petalinux_wrapper_hw_platform_0/.indexes/properties.index and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/mz_petalinux_wrapper_hw_platform_0/.indexes/properties.index differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/mz_petalinux_wrapper_hw_platform_0/.markers.snap b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/mz_petalinux_wrapper_hw_platform_0/.markers.snap
new file mode 100644
index 0000000000000000000000000000000000000000..0b368ce14fbcdffc79d020bc00b88646cda52674
Binary files /dev/null and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/mz_petalinux_wrapper_hw_platform_0/.markers.snap differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/mz_petalinux_wrapper_hw_platform_0/.syncinfo.snap b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/mz_petalinux_wrapper_hw_platform_0/.syncinfo.snap
new file mode 100644
index 0000000000000000000000000000000000000000..0b368ce14fbcdffc79d020bc00b88646cda52674
Binary files /dev/null and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.projects/mz_petalinux_wrapper_hw_platform_0/.syncinfo.snap differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.root/.markers.snap b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.root/.markers.snap
new file mode 100644
index 0000000000000000000000000000000000000000..0b368ce14fbcdffc79d020bc00b88646cda52674
Binary files /dev/null and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.root/.markers.snap differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.root/13.tree b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.root/13.tree
new file mode 100644
index 0000000000000000000000000000000000000000..83e1fb1ffb3d6a3fae6744450b19a38d934dcd6b
Binary files /dev/null and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.root/13.tree differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.root/5.tree b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.root/5.tree
deleted file mode 100644
index 7d132d799c5c30507fd01b47a1333c7c6dff193a..0000000000000000000000000000000000000000
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.root/5.tree and /dev/null differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources
index ff4cdbcc04eece6ef5bb5668b3172fbfc3da2297..6395066031e63234de332bf7ae84157e0073f305 100644
Binary files a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/13.snap b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/13.snap
new file mode 100644
index 0000000000000000000000000000000000000000..d029249663662e2edbd1f217e100526983e33fe1
Binary files /dev/null and b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.resources/13.snap differ
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.runtime/.settings/com.xilinx.sdk.utils.prefs b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.runtime/.settings/com.xilinx.sdk.utils.prefs
index d2f682dfa9f2ba79f8da6e9f4fc477c5d981668b..0de0bd085422673d2879500c8076d9e9cb6982c1 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.runtime/.settings/com.xilinx.sdk.utils.prefs
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.runtime/.settings/com.xilinx.sdk.utils.prefs
@@ -1,2 +1,2 @@
-com.xilinx.sdk.preference.invokecount=5
+com.xilinx.sdk.preference.invokecount=13
eclipse.preferences.version=1
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs
index 0d5b3378fe844ace67c87cb8c34a58d443de87f8..a0a6d257691b5f011d5b0be2a9e329ecac8112ff 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs
@@ -3,5 +3,6 @@ org.eclipse.debug.ui.MemoryView.orientation=0
org.eclipse.debug.ui.PREF_LAUNCH_PERSPECTIVES=\n\n
org.eclipse.debug.ui.switch_to_perspective=always
pref_state_memento.org.eclipse.debug.ui.DebugVieworg.eclipse.debug.ui.DebugView=\n
+pref_state_memento.org.eclipse.debug.ui.VariableView=\n\n\n\n\n\n
preferredDetailPanes=DefaultDetailPane\:DefaultDetailPane|org.eclipse.tcf.debug.DetailPaneFactory\:org.eclipse.tcf.debug.DetailPaneFactory|
preferredTargets=default,org.eclipse.tcf.debug.toggleTCFBreakpoint\:default|org.eclipse.cdt.debug.ui.toggleCBreakpointTarget,org.eclipse.cdt.debug.ui.toggleCDynamicPrintfTarget,org.eclipse.tcf.debug.toggleTCFBreakpoint\:org.eclipse.tcf.debug.toggleTCFBreakpoint|org.eclipse.tcf.debug.toggleTCFBreakpoint\:org.eclipse.tcf.debug.toggleTCFBreakpoint|org.eclipse.cdt.debug.ui.toggleCBreakpointTarget,org.eclipse.cdt.debug.ui.toggleCDynamicPrintfTarget\:org.eclipse.cdt.debug.ui.toggleCBreakpointTarget|
diff --git a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi
index 8706572886e2903e3968a21a9eab146b6f0cebb4..bcab62d8360e0c124b0aef8bbd01b0e5cf1e9d85 100644
--- a/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi
+++ b/petalinux/mz7010_fmccc_2017_4/hardware/MZ7010_FMCCC/mz_petalinux.sdk/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi
@@ -1,9 +1,9 @@
-
-
+
+
activeSchemeId:org.eclipse.ui.defaultAcceleratorConfiguration
ModelMigrationProcessor.001
-
+
@@ -12,9 +12,9 @@
topLevel
shellMaximized
-
-
-
+
+
+
persp.actionSet:org.eclipse.ui.cheatsheets.actionSet
persp.actionSet:org.eclipse.rse.core.search.searchActionSet
@@ -65,45 +65,45 @@
persp.newWizSC:com.xilinx.sdk.profile.ui.wizards.ZpeProjectWizard
persp.newWizSC:com.xilinx.sdk.sw.ui.NewBspWizard
persp.actionSet:org.eclipse.debug.ui.debugActionSet
-
-
-
-
-
-
-
+
+
+
+
+
+
+
-
-
+
+
-
-
-
-
-
-
-
+
+
+
+
+
+
+
-
-
-
-
-
-
-
+
+
+
+
+
+
+
-
+
Debug
-
-
+
+
-
+
persp.actionSet:org.eclipse.ui.cheatsheets.actionSet
persp.actionSet:org.eclipse.rse.core.search.searchActionSet
@@ -137,1437 +137,1619 @@
persp.actionSet:org.eclipse.debug.ui.breakpointActionSet
persp.viewSC:org.eclipse.pde.runtime.LogView
persp.showIn:org.eclipse.egit.ui.RepositoriesView
-
-
-
-
-
+
+
+
+
+
org.eclipse.e4.primaryNavigationStack
- active
- noFocus
-
-
+
+
-
-
+
+
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
-
-
-
+
+
+
org.eclipse.e4.secondaryNavigationStack
-
-
-
+
+
+
-
-
+
+
org.eclipse.e4.secondaryDataStack
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
+
Debug
-
-
+
+
-
-
-
-
+
+
+
+
-
+
View
categoryTag:Help
-
+
View
categoryTag:General
-
+
ViewMenu
menuContribution:menu
-
+
-
+
View
categoryTag:Help
-
-
+
+
org.eclipse.e4.primaryDataStack
EditorStack
-
-
+ active
+ noFocus
+
+
Editor
org.eclipse.cdt.ui.editor.CEditor
removeOnHide
+ active
+
+ menuContribution:popup
+ popup:#CEditorContext
+ popup:org.eclipse.cdt.ui.editor.CEditor.EditorContext
+ popup:#AbstractTextEditorContext
+
+
+ menuContribution:popup
+ popup:#CEditorRulerContext
+ popup:org.eclipse.cdt.ui.editor.CEditor.RulerContext
+ popup:#AbstractTextEditorRulerContext
+
+
+ menuContribution:popup
+ popup:#OverviewRulerContext
+
-
-
+
+
+ Editor
+ org.eclipse.cdt.ui.editor.CEditor
+ removeOnHide
+
+
+
Editor
org.eclipse.cdt.ui.editor.CEditor
removeOnHide
-
+
View
categoryTag:General
-
+
ViewMenu
menuContribution:menu
-
+
+ menuContribution:popup
+ popup:org.eclipse.ui.navigator.ProjectExplorer#PopupMenu
+
+
-
+
View
categoryTag:C/C++
-
+
View
categoryTag:General
-
+
View
categoryTag:General
-
+
-
+
View
categoryTag:General
-
+
ViewMenu
menuContribution:menu
-
+
+ menuContribution:popup
+ popup:org.eclipse.ui.views.ProblemView
+ popup:org.eclipse.ui.ide.MarkersView
+
+
-
+
View
categoryTag:General
-
+
ViewMenu
menuContribution:menu
-
+
-
+
View
categoryTag:General
-
+
ViewMenu
menuContribution:menu
-
+
+ menuContribution:popup
+ popup:org.eclipse.cdt.ui.CDTGlobalBuildConsole
+
+
+ menuContribution:popup
+ popup:org.eclipse.cdt.ui.CDTBuildConsole
+
+
-
+
View
categoryTag:General
-
+
|