Skip to content
Commit 5480d180 authored by Gregory Nutt's avatar Gregory Nutt
Browse files

Port logic to enable PCK6 in tickless mode from the samv71-xult to the same70-xplained

parent 2e8e851d
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment