Skip to content
Commit 91c19952 authored by Gregory Nutt's avatar Gregory Nutt
Browse files

boardctl(): Typo in ADC logic

parent fc45d5a2
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment