Skip to content
Commit 9d355e12 authored by Young's avatar Young
Browse files

Support indefinite number of pulses generation in PULSECOUNT mode

parent e35406f7
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment