Skip to content
  1. Mar 01, 2017
  2. Feb 28, 2017
  3. Feb 27, 2017
    • David Sidrane's avatar
      Kinetis:Use BOARD_xxxx to drive system clocking · a6e0d5ed
      David Sidrane authored
         1) Shifted the clock speed of MK20DX128VLH5 to 48 Mhz to be
            able to uses USB.
         2) Set BOARD_OUTDIV3 to 0 - there is no BOARD_OUTDIV3 on a
            MK20DX128VLH5 or K20DX256VLH7
      
         3) Added BOARD_SOPT2_PLLFLLSEL and BOARD_SOPT2_FREQ along with
            settings for BOARD_SIM_CLKDIV2_USBFRAC and
            BOARD_SIM_CLKDIV2_USBDIV base on the BOARD_SOPT2_FREQ.
      a6e0d5ed
    • David Sidrane's avatar
      Kinetis:Refactor clocking in kinetis_usbdev · d0c58fff
      David Sidrane authored
        1) Removed SIM_CLKDIV2[USBFRAC, USBDIV] setting as it is now
           done in kinetis_clockconfig
        2) Use BOARD_USB_CLKSRC to select the clock source to the
           USB block
        3) Removed warning
        4) Removed CONFIG_TEENSY_3X_OVERCLOCK from the driver as
           the board.h will now provide BOARD_SIM_CLKDIV2_USBDIV
           and BOARD_SIM_CLKDIV2_USBFRAC to the kinetis_clockconfig
      d0c58fff