Skip to content
maurice.hal 1.88 KiB
Newer Older
electrolab's avatar
electrolab committed
loadusr -W lcec_conf maurice.xml
loadrt lcec
loadrt trivkins
loadrt [EMCMOT]EMCMOT base_period_nsec=[EMCMOT]BASE_PERIOD servo_period_nsec=[EMCMOT]SERVO_PERIOD num_joints=[KINS]JOINTS
loadrt and2 count=2
loadrt not count=2
electrolab's avatar
electrolab committed

addf lcec.read-all servo-thread
addf motion-command-handler servo-thread
addf motion-controller servo-thread
addf lcec.write-all servo-thread
addf and2.0 servo-thread
addf and2.1 servo-thread
addf not.0 servo-thread
addf not.1 servo-thread
electrolab's avatar
electrolab committed

net ec_up lcec.state-op => iocontrol.0.emc-enable-in
electrolab's avatar
electrolab committed

setp lcec.0.0.drivecontrol-1 1
setp lcec.0.0.drivecontrol-2 1
setp lcec.0.1.drivecontrol-1 1
setp lcec.0.1.drivecontrol-2 1

setp lcec.0.0.modecontrol-0 0
setp lcec.0.0.modecontrol-4 0
setp lcec.0.1.modecontrol-0 0
setp lcec.0.1.modecontrol-4 0

net Xachse_AF joint.0.amp-enable-out => lcec.0.0.drivecontrol-3 => lcec.0.0.drivecontrol-0
electrolab's avatar
electrolab committed
net Xachse_fb lcec.0.0.pos => joint.0.motor-pos-fb
net Xachse_cmd joint.0.motor-pos-cmd => lcec.0.0.poscommand
net Xaxis_fault lcec.0.0.drivestatus-3 => joint.0.amp-fault-in

net Zachse_AF joint.2.amp-enable-out => lcec.0.1.drivecontrol-3 => lcec.0.1.drivecontrol-0
net Zachse_fb lcec.0.1.pos => joint.2.motor-pos-fb
net Zachse_cmd joint.2.motor-pos-cmd => lcec.0.1.poscommand
net Zaxis_fault lcec.0.1.drivestatus-3 => joint.2.amp-fault-in

net Xmodehome lcec.0.0.modestatus-3 <= and2.0.in0
net Xstat4 lcec.0.0.drivestatus-12 <= and2.0.in1
net Xhomed and2.0.out => joint.0.home-sw-in

net Xhoming joint.0.homing => lcec.0.0.modecontrol-1 => lcec.0.0.modecontrol-2 => lcec.0.0.drivecontrol-4 => not.0.in
net Xposition not.0.out => lcec.0.0.modecontrol-3

net Zmodehome lcec.0.1.modestatus-3 <= and2.1.in0
net Zstat4 lcec.0.1.drivestatus-12 <= and2.1.in1
net Zhomed and2.1.out => joint.2.home-sw-in

net Zhoming joint.2.homing => lcec.0.1.modecontrol-1 => lcec.0.1.modecontrol-2 => lcec.0.1.drivecontrol-4 => not.1.in
net Zposition not.1.out => lcec.0.1.modecontrol-3
electrolab's avatar
electrolab committed