Skip to content
LTC2271_SampleGetter_v1_0_utilization_placed.rpt 8.31 KiB
Newer Older
nats's avatar
nats committed
Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
nats's avatar
nats committed
-----------------------------------------------------------------------------------------------------------------------------------------------
nats's avatar
nats committed
| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
nats's avatar
nats committed
| Date         : Tue Oct 15 02:05:11 2019
nats's avatar
nats committed
| Host         : nats-MS-7A72 running 64-bit Ubuntu 18.04.3 LTS
| Command      : report_utilization -file LTC2271_SampleGetter_v1_0_utilization_placed.rpt -pb LTC2271_SampleGetter_v1_0_utilization_placed.pb
| Design       : LTC2271_SampleGetter_v1_0
nats's avatar
nats committed
| Device       : 7z010clg400-1
nats's avatar
nats committed
| Design State : Fully Placed
-----------------------------------------------------------------------------------------------------------------------------------------------
nats's avatar
nats committed

Utilization Design Information

Table of Contents
-----------------
1. Slice Logic
1.1 Summary of Registers by Type
nats's avatar
nats committed
2. Slice Logic Distribution
3. Memory
4. DSP
5. IO and GT Specific
6. Clocking
7. Specific Feature
8. Primitives
9. Black Boxes
10. Instantiated Netlists
nats's avatar
nats committed

1. Slice Logic
--------------

+-------------------------+------+-------+-----------+-------+
|        Site Type        | Used | Fixed | Available | Util% |
+-------------------------+------+-------+-----------+-------+
nats's avatar
nats committed
| Slice LUTs              |    1 |     0 |     17600 | <0.01 |
|   LUT as Logic          |    1 |     0 |     17600 | <0.01 |
nats's avatar
nats committed
|   LUT as Memory         |    0 |     0 |      6000 |  0.00 |
nats's avatar
nats committed
| Slice Registers         |   64 |     0 |     35200 |  0.18 |
|   Register as Flip Flop |   64 |     0 |     35200 |  0.18 |
nats's avatar
nats committed
|   Register as Latch     |    0 |     0 |     35200 |  0.00 |
| F7 Muxes                |    0 |     0 |      8800 |  0.00 |
| F8 Muxes                |    0 |     0 |      4400 |  0.00 |
+-------------------------+------+-------+-----------+-------+


1.1 Summary of Registers by Type
--------------------------------

+-------+--------------+-------------+--------------+
| Total | Clock Enable | Synchronous | Asynchronous |
+-------+--------------+-------------+--------------+
| 0     |            _ |           - |            - |
| 0     |            _ |           - |          Set |
| 0     |            _ |           - |        Reset |
| 0     |            _ |         Set |            - |
| 0     |            _ |       Reset |            - |
| 0     |          Yes |           - |            - |
| 0     |          Yes |           - |          Set |
| 0     |          Yes |           - |        Reset |
| 0     |          Yes |         Set |            - |
nats's avatar
nats committed
| 64    |          Yes |       Reset |            - |
nats's avatar
nats committed
+-------+--------------+-------------+--------------+


nats's avatar
nats committed
2. Slice Logic Distribution
---------------------------

+-------------------------------------------+------+-------+-----------+-------+
|                 Site Type                 | Used | Fixed | Available | Util% |
+-------------------------------------------+------+-------+-----------+-------+
| Slice                                     |   26 |     0 |      4400 |  0.59 |
|   SLICEL                                  |   20 |     0 |           |       |
|   SLICEM                                  |    6 |     0 |           |       |
| LUT as Logic                              |    1 |     0 |     17600 | <0.01 |
|   using O5 output only                    |    0 |       |           |       |
|   using O6 output only                    |    1 |       |           |       |
|   using O5 and O6                         |    0 |       |           |       |
| LUT as Memory                             |    0 |     0 |      6000 |  0.00 |
|   LUT as Distributed RAM                  |    0 |     0 |           |       |
|   LUT as Shift Register                   |    0 |     0 |           |       |
| LUT Flip Flop Pairs                       |    1 |     0 |     17600 | <0.01 |
|   fully used LUT-FF pairs                 |    0 |       |           |       |
|   LUT-FF pairs with one unused LUT output |    1 |       |           |       |
|   LUT-FF pairs with one unused Flip Flop  |    1 |       |           |       |
| Unique Control Sets                       |    1 |       |           |       |
+-------------------------------------------+------+-------+-----------+-------+
* Note: Review the Control Sets Report for more information regarding control sets.


3. Memory
nats's avatar
nats committed
---------

+----------------+------+-------+-----------+-------+
|    Site Type   | Used | Fixed | Available | Util% |
+----------------+------+-------+-----------+-------+
| Block RAM Tile |    0 |     0 |        60 |  0.00 |
|   RAMB36/FIFO* |    0 |     0 |        60 |  0.00 |
|   RAMB18       |    0 |     0 |       120 |  0.00 |
+----------------+------+-------+-----------+-------+
* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1


nats's avatar
nats committed
4. DSP
nats's avatar
nats committed
------

+-----------+------+-------+-----------+-------+
| Site Type | Used | Fixed | Available | Util% |
+-----------+------+-------+-----------+-------+
| DSPs      |    0 |     0 |        80 |  0.00 |
+-----------+------+-------+-----------+-------+


nats's avatar
nats committed
5. IO and GT Specific
nats's avatar
nats committed
---------------------

+-----------------------------+------+-------+-----------+-------+
|          Site Type          | Used | Fixed | Available | Util% |
+-----------------------------+------+-------+-----------+-------+
nats's avatar
nats committed
| Bonded IOB                  |   39 |     0 |       100 | 39.00 |
|   IOB Master Pads           |   19 |       |           |       |
|   IOB Slave Pads            |   19 |       |           |       |
nats's avatar
nats committed
| Bonded IPADs                |    0 |     0 |         2 |  0.00 |
| Bonded IOPADs               |    0 |     0 |       130 |  0.00 |
| PHY_CONTROL                 |    0 |     0 |         2 |  0.00 |
| PHASER_REF                  |    0 |     0 |         2 |  0.00 |
| OUT_FIFO                    |    0 |     0 |         8 |  0.00 |
| IN_FIFO                     |    0 |     0 |         8 |  0.00 |
| IDELAYCTRL                  |    0 |     0 |         2 |  0.00 |
| IBUFDS                      |    0 |     0 |        96 |  0.00 |
| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |         8 |  0.00 |
| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |         8 |  0.00 |
| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |       100 |  0.00 |
| ILOGIC                      |    0 |     0 |       100 |  0.00 |
| OLOGIC                      |    0 |     0 |       100 |  0.00 |
+-----------------------------+------+-------+-----------+-------+


nats's avatar
nats committed
6. Clocking
nats's avatar
nats committed
-----------

+------------+------+-------+-----------+-------+
|  Site Type | Used | Fixed | Available | Util% |
+------------+------+-------+-----------+-------+
nats's avatar
nats committed
| BUFGCTRL   |    1 |     0 |        32 |  3.13 |
nats's avatar
nats committed
| BUFIO      |    0 |     0 |         8 |  0.00 |
| MMCME2_ADV |    0 |     0 |         2 |  0.00 |
| PLLE2_ADV  |    0 |     0 |         2 |  0.00 |
| BUFMRCE    |    0 |     0 |         4 |  0.00 |
| BUFHCE     |    0 |     0 |        48 |  0.00 |
| BUFR       |    0 |     0 |         8 |  0.00 |
+------------+------+-------+-----------+-------+


nats's avatar
nats committed
7. Specific Feature
nats's avatar
nats committed
-------------------

+-------------+------+-------+-----------+-------+
|  Site Type  | Used | Fixed | Available | Util% |
+-------------+------+-------+-----------+-------+
| BSCANE2     |    0 |     0 |         4 |  0.00 |
| CAPTUREE2   |    0 |     0 |         1 |  0.00 |
| DNA_PORT    |    0 |     0 |         1 |  0.00 |
| EFUSE_USR   |    0 |     0 |         1 |  0.00 |
| FRAME_ECCE2 |    0 |     0 |         1 |  0.00 |
| ICAPE2      |    0 |     0 |         2 |  0.00 |
| STARTUPE2   |    0 |     0 |         1 |  0.00 |
| XADC        |    0 |     0 |         1 |  0.00 |
+-------------+------+-------+-----------+-------+


nats's avatar
nats committed
8. Primitives
nats's avatar
nats committed
-------------

+----------+------+---------------------+
| Ref Name | Used | Functional Category |
+----------+------+---------------------+
nats's avatar
nats committed
| FDRE     |   64 |        Flop & Latch |
nats's avatar
nats committed
| OBUF     |   38 |                  IO |
nats's avatar
nats committed
| CARRY4   |    8 |          CarryLogic |
| LUT1     |    1 |                 LUT |
| IBUF     |    1 |                  IO |
| BUFG     |    1 |               Clock |
nats's avatar
nats committed
+----------+------+---------------------+


nats's avatar
nats committed
9. Black Boxes
nats's avatar
nats committed
--------------

+----------+------+
| Ref Name | Used |
+----------+------+


nats's avatar
nats committed
10. Instantiated Netlists
-------------------------
nats's avatar
nats committed

+----------+------+
| Ref Name | Used |
+----------+------+