Skip to content
gen_run.xml 6.72 KiB
Newer Older
nats's avatar
nats committed
<?xml version="1.0" encoding="UTF-8"?>
nats's avatar
nats committed
<GenRun Id="impl_1" LaunchPart="xc7z010clg400-1" LaunchTime="1571097866">
nats's avatar
nats committed
  <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/>
  <File Type="BG-DRC" Name="LTC2271_SampleGetter_v1_0.drc"/>
  <File Type="BG-BGN" Name="LTC2271_SampleGetter_v1_0.bgn"/>
  <File Type="BITSTR-SYSDEF" Name="LTC2271_SampleGetter_v1_0.sysdef"/>
  <File Type="BITSTR-LTX" Name="debug_nets.ltx"/>
  <File Type="BITSTR-LTX" Name="LTC2271_SampleGetter_v1_0.ltx"/>
  <File Type="BITSTR-MMI" Name="LTC2271_SampleGetter_v1_0.mmi"/>
  <File Type="BITSTR-BMM" Name="LTC2271_SampleGetter_v1_0_bd.bmm"/>
  <File Type="BITSTR-NKY" Name="LTC2271_SampleGetter_v1_0.nky"/>
  <File Type="BITSTR-RBT" Name="LTC2271_SampleGetter_v1_0.rbt"/>
  <File Type="BITSTR-MSK" Name="LTC2271_SampleGetter_v1_0.msk"/>
  <File Type="BG-BIN" Name="LTC2271_SampleGetter_v1_0.bin"/>
  <File Type="BG-BIT" Name="LTC2271_SampleGetter_v1_0.bit"/>
  <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="LTC2271_SampleGetter_v1_0_timing_summary_postroute_physopted.rpx"/>
  <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="LTC2271_SampleGetter_v1_0_timing_summary_postroute_physopted.pb"/>
  <File Type="POSTROUTE-PHYSOPT-TIMING" Name="LTC2271_SampleGetter_v1_0_timing_summary_postroute_physopted.rpt"/>
  <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="LTC2271_SampleGetter_v1_0_postroute_physopt_bb.dcp"/>
  <File Type="POSTROUTE-PHYSOPT-DCP" Name="LTC2271_SampleGetter_v1_0_postroute_physopt.dcp"/>
  <File Type="ROUTE-CLK" Name="LTC2271_SampleGetter_v1_0_clock_utilization_routed.rpt"/>
  <File Type="ROUTE-SIMILARITY" Name="LTC2271_SampleGetter_v1_0_incremental_reuse_routed.rpt"/>
  <File Type="ROUTE-TIMING-RPX" Name="LTC2271_SampleGetter_v1_0_timing_summary_routed.rpx"/>
  <File Type="ROUTE-TIMING-PB" Name="LTC2271_SampleGetter_v1_0_timing_summary_routed.pb"/>
  <File Type="ROUTE-TIMINGSUMMARY" Name="LTC2271_SampleGetter_v1_0_timing_summary_routed.rpt"/>
  <File Type="ROUTE-STATUS-PB" Name="LTC2271_SampleGetter_v1_0_route_status.pb"/>
  <File Type="ROUTE-STATUS" Name="LTC2271_SampleGetter_v1_0_route_status.rpt"/>
  <File Type="ROUTE-PWR-RPX" Name="LTC2271_SampleGetter_v1_0_power_routed.rpx"/>
  <File Type="ROUTE-PWR-SUM" Name="LTC2271_SampleGetter_v1_0_power_summary_routed.pb"/>
  <File Type="ROUTE-PWR" Name="LTC2271_SampleGetter_v1_0_power_routed.rpt"/>
  <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="LTC2271_SampleGetter_v1_0_methodology_drc_routed.pb"/>
  <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="LTC2271_SampleGetter_v1_0_methodology_drc_routed.rpx"/>
  <File Type="ROUTE-METHODOLOGY-DRC" Name="LTC2271_SampleGetter_v1_0_methodology_drc_routed.rpt"/>
  <File Type="ROUTE-DRC-RPX" Name="LTC2271_SampleGetter_v1_0_drc_routed.rpx"/>
  <File Type="ROUTE-DRC-PB" Name="LTC2271_SampleGetter_v1_0_drc_routed.pb"/>
  <File Type="ROUTE-DRC" Name="LTC2271_SampleGetter_v1_0_drc_routed.rpt"/>
  <File Type="ROUTE-BLACKBOX-DCP" Name="LTC2271_SampleGetter_v1_0_routed_bb.dcp"/>
  <File Type="ROUTE-DCP" Name="LTC2271_SampleGetter_v1_0_routed.dcp"/>
  <File Type="ROUTE-ERROR-DCP" Name="LTC2271_SampleGetter_v1_0_routed_error.dcp"/>
  <File Type="PHYSOPT-TIMING" Name="LTC2271_SampleGetter_v1_0_timing_summary_physopted.rpt"/>
  <File Type="PHYSOPT-DRC" Name="LTC2271_SampleGetter_v1_0_drc_physopted.rpt"/>
  <File Type="PHYSOPT-DCP" Name="LTC2271_SampleGetter_v1_0_physopt.dcp"/>
  <File Type="POSTPLACE-PWROPT-TIMING" Name="LTC2271_SampleGetter_v1_0_timing_summary_postplace_pwropted.rpt"/>
  <File Type="POSTPLACE-PWROPT-DCP" Name="LTC2271_SampleGetter_v1_0_postplace_pwropt.dcp"/>
  <File Type="PLACE-TIMING" Name="LTC2271_SampleGetter_v1_0_timing_summary_placed.rpt"/>
  <File Type="PLACE-PRE-SIMILARITY" Name="LTC2271_SampleGetter_v1_0_incremental_reuse_pre_placed.rpt"/>
  <File Type="PLACE-SIMILARITY" Name="LTC2271_SampleGetter_v1_0_incremental_reuse_placed.rpt"/>
  <File Type="PLACE-CTRL" Name="LTC2271_SampleGetter_v1_0_control_sets_placed.rpt"/>
  <File Type="PLACE-UTIL-PB" Name="LTC2271_SampleGetter_v1_0_utilization_placed.pb"/>
  <File Type="PLACE-UTIL" Name="LTC2271_SampleGetter_v1_0_utilization_placed.rpt"/>
  <File Type="PLACE-CLK" Name="LTC2271_SampleGetter_v1_0_clock_utilization_placed.rpt"/>
  <File Type="PLACE-IO" Name="LTC2271_SampleGetter_v1_0_io_placed.rpt"/>
  <File Type="RDI-RDI" Name="LTC2271_SampleGetter_v1_0.vdi"/>
  <File Type="PWROPT-TIMING" Name="LTC2271_SampleGetter_v1_0_timing_summary_pwropted.rpt"/>
  <File Type="PWROPT-DRC" Name="LTC2271_SampleGetter_v1_0_drc_pwropted.rpt"/>
  <File Type="OPT-TIMING" Name="LTC2271_SampleGetter_v1_0_timing_summary_opted.rpt"/>
  <File Type="OPT-HWDEF" Name="LTC2271_SampleGetter_v1_0.hwdef"/>
  <File Type="OPT-METHODOLOGY-DRC" Name="LTC2271_SampleGetter_v1_0_methodology_drc_opted.rpt"/>
  <File Type="OPT-DRC" Name="LTC2271_SampleGetter_v1_0_drc_opted.rpt"/>
  <File Type="OPT-DCP" Name="LTC2271_SampleGetter_v1_0_opt.dcp"/>
  <File Type="INIT-TIMING" Name="LTC2271_SampleGetter_v1_0_timing_summary_init.rpt"/>
  <File Type="PWROPT-DCP" Name="LTC2271_SampleGetter_v1_0_pwropt.dcp"/>
  <File Type="REPORTS-TCL" Name="LTC2271_SampleGetter_v1_0_reports.tcl"/>
  <File Type="PLACE-DCP" Name="LTC2271_SampleGetter_v1_0_placed.dcp"/>
  <File Type="PA-TCL" Name="LTC2271_SampleGetter_v1_0.tcl"/>
  <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
    <Filter Type="Srcs"/>
    <File Path="$PPRDIR/LTC2271_SampleGetter_1.0/hdl/LTC2271_SampleGetter_v1_0.v">
      <FileInfo>
        <Attr Name="UsedIn" Val="synthesis"/>
        <Attr Name="UsedIn" Val="simulation"/>
      </FileInfo>
    </File>
    <File Path="$PPRDIR/LTC2271_SampleGetter_1.0/hdl/LTC2271_SampleGetter_v1_0_M00_AXIS.v">
      <FileInfo>
        <Attr Name="AutoDisabled" Val="1"/>
        <Attr Name="UsedIn" Val="synthesis"/>
        <Attr Name="UsedIn" Val="simulation"/>
      </FileInfo>
    </File>
    <File Path="$PPRDIR/LTC2271_SampleGetter_1.0/component.xml">
      <FileInfo SFType="IPXACT"/>
    </File>
    <Config>
      <Option Name="DesignMode" Val="RTL"/>
      <Option Name="TopModule" Val="LTC2271_SampleGetter_v1_0"/>
      <Option Name="TopRTLFile" Val="$PPRDIR/LTC2271_SampleGetter_1.0/hdl/LTC2271_SampleGetter_v1_0.v"/>
    </Config>
  </FileSet>
  <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
    <Filter Type="Constrs"/>
    <Config>
      <Option Name="ConstrsType" Val="XDC"/>
    </Config>
  </FileSet>
  <Strategy Version="1" Minor="2">
    <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2017"/>
    <Step Id="init_design"/>
    <Step Id="opt_design"/>
    <Step Id="power_opt_design"/>
    <Step Id="place_design"/>
    <Step Id="post_place_power_opt_design"/>
    <Step Id="phys_opt_design"/>
    <Step Id="route_design"/>
    <Step Id="post_route_phys_opt_design"/>
    <Step Id="write_bitstream"/>
  </Strategy>
</GenRun>