Skip to content
LTC2271_SampleGetter_v1_0_utilization_synth.rpt 6.83 KiB
Newer Older
nats's avatar
nats committed
Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
nats's avatar
nats committed
---------------------------------------------------------------------------------------------------------------------------------------------
nats's avatar
nats committed
| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
nats's avatar
nats committed
| Date         : Tue Oct 15 02:04:16 2019
nats's avatar
nats committed
| Host         : nats-MS-7A72 running 64-bit Ubuntu 18.04.3 LTS
| Command      : report_utilization -file LTC2271_SampleGetter_v1_0_utilization_synth.rpt -pb LTC2271_SampleGetter_v1_0_utilization_synth.pb
| Design       : LTC2271_SampleGetter_v1_0
nats's avatar
nats committed
| Device       : 7z010clg400-1
| Design State : Synthesized
nats's avatar
nats committed
---------------------------------------------------------------------------------------------------------------------------------------------
nats's avatar
nats committed

Utilization Design Information

Table of Contents
-----------------
1. Slice Logic
1.1 Summary of Registers by Type
2. Memory
3. DSP
4. IO and GT Specific
5. Clocking
6. Specific Feature
7. Primitives
8. Black Boxes
9. Instantiated Netlists

1. Slice Logic
--------------

+-------------------------+------+-------+-----------+-------+
|        Site Type        | Used | Fixed | Available | Util% |
+-------------------------+------+-------+-----------+-------+
nats's avatar
nats committed
| Slice LUTs*             |    1 |     0 |     17600 | <0.01 |
|   LUT as Logic          |    1 |     0 |     17600 | <0.01 |
nats's avatar
nats committed
|   LUT as Memory         |    0 |     0 |      6000 |  0.00 |
nats's avatar
nats committed
| Slice Registers         |   64 |     0 |     35200 |  0.18 |
|   Register as Flip Flop |   64 |     0 |     35200 |  0.18 |
nats's avatar
nats committed
|   Register as Latch     |    0 |     0 |     35200 |  0.00 |
| F7 Muxes                |    0 |     0 |      8800 |  0.00 |
| F8 Muxes                |    0 |     0 |      4400 |  0.00 |
+-------------------------+------+-------+-----------+-------+
* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.


1.1 Summary of Registers by Type
--------------------------------

+-------+--------------+-------------+--------------+
| Total | Clock Enable | Synchronous | Asynchronous |
+-------+--------------+-------------+--------------+
| 0     |            _ |           - |            - |
| 0     |            _ |           - |          Set |
| 0     |            _ |           - |        Reset |
| 0     |            _ |         Set |            - |
| 0     |            _ |       Reset |            - |
| 0     |          Yes |           - |            - |
| 0     |          Yes |           - |          Set |
| 0     |          Yes |           - |        Reset |
| 0     |          Yes |         Set |            - |
nats's avatar
nats committed
| 64    |          Yes |       Reset |            - |
nats's avatar
nats committed
+-------+--------------+-------------+--------------+


2. Memory
---------

+----------------+------+-------+-----------+-------+
|    Site Type   | Used | Fixed | Available | Util% |
+----------------+------+-------+-----------+-------+
| Block RAM Tile |    0 |     0 |        60 |  0.00 |
|   RAMB36/FIFO* |    0 |     0 |        60 |  0.00 |
|   RAMB18       |    0 |     0 |       120 |  0.00 |
+----------------+------+-------+-----------+-------+
* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1


3. DSP
------

+-----------+------+-------+-----------+-------+
| Site Type | Used | Fixed | Available | Util% |
+-----------+------+-------+-----------+-------+
| DSPs      |    0 |     0 |        80 |  0.00 |
+-----------+------+-------+-----------+-------+


4. IO and GT Specific
---------------------

+-----------------------------+------+-------+-----------+-------+
|          Site Type          | Used | Fixed | Available | Util% |
+-----------------------------+------+-------+-----------+-------+
nats's avatar
nats committed
| Bonded IOB                  |   59 |     0 |       100 | 59.00 |
nats's avatar
nats committed
| Bonded IPADs                |    0 |     0 |         2 |  0.00 |
| Bonded IOPADs               |    0 |     0 |       130 |  0.00 |
| PHY_CONTROL                 |    0 |     0 |         2 |  0.00 |
| PHASER_REF                  |    0 |     0 |         2 |  0.00 |
| OUT_FIFO                    |    0 |     0 |         8 |  0.00 |
| IN_FIFO                     |    0 |     0 |         8 |  0.00 |
| IDELAYCTRL                  |    0 |     0 |         2 |  0.00 |
nats's avatar
nats committed
| IBUFDS                      |   10 |     0 |        96 | 10.42 |
nats's avatar
nats committed
| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |         8 |  0.00 |
| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |         8 |  0.00 |
| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |       100 |  0.00 |
nats's avatar
nats committed
| ILOGIC                      |    2 |     0 |       100 |  2.00 |
|   IDDR                      |    2 |       |           |       |
nats's avatar
nats committed
| OLOGIC                      |    0 |     0 |       100 |  0.00 |
+-----------------------------+------+-------+-----------+-------+


5. Clocking
-----------

+------------+------+-------+-----------+-------+
|  Site Type | Used | Fixed | Available | Util% |
+------------+------+-------+-----------+-------+
nats's avatar
nats committed
| BUFGCTRL   |    1 |     0 |        32 |  3.13 |
nats's avatar
nats committed
| BUFIO      |    0 |     0 |         8 |  0.00 |
| MMCME2_ADV |    0 |     0 |         2 |  0.00 |
| PLLE2_ADV  |    0 |     0 |         2 |  0.00 |
| BUFMRCE    |    0 |     0 |         4 |  0.00 |
| BUFHCE     |    0 |     0 |        48 |  0.00 |
| BUFR       |    0 |     0 |         8 |  0.00 |
+------------+------+-------+-----------+-------+


6. Specific Feature
-------------------

+-------------+------+-------+-----------+-------+
|  Site Type  | Used | Fixed | Available | Util% |
+-------------+------+-------+-----------+-------+
| BSCANE2     |    0 |     0 |         4 |  0.00 |
| CAPTUREE2   |    0 |     0 |         1 |  0.00 |
| DNA_PORT    |    0 |     0 |         1 |  0.00 |
| EFUSE_USR   |    0 |     0 |         1 |  0.00 |
| FRAME_ECCE2 |    0 |     0 |         1 |  0.00 |
| ICAPE2      |    0 |     0 |         2 |  0.00 |
| STARTUPE2   |    0 |     0 |         1 |  0.00 |
| XADC        |    0 |     0 |         1 |  0.00 |
+-------------+------+-------+-----------+-------+


7. Primitives
-------------

+----------+------+---------------------+
| Ref Name | Used | Functional Category |
+----------+------+---------------------+
nats's avatar
nats committed
| FDRE     |   64 |        Flop & Latch |
nats's avatar
nats committed
| OBUF     |   38 |                  IO |
nats's avatar
nats committed
| IBUFDS   |   10 |                  IO |
| CARRY4   |    8 |          CarryLogic |
nats's avatar
nats committed
| IDDR     |    2 |                  IO |
nats's avatar
nats committed
| LUT1     |    1 |                 LUT |
| IBUF     |    1 |                  IO |
| BUFG     |    1 |               Clock |
nats's avatar
nats committed
+----------+------+---------------------+


8. Black Boxes
--------------

+----------+------+
| Ref Name | Used |
+----------+------+


9. Instantiated Netlists
------------------------

+----------+------+
| Ref Name | Used |
+----------+------+